加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 正文
  • 推荐器件
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

可靠消息:16nm工艺将在明年爆发!

2014/10/17
1
阅读需 4 分钟
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

需要先交代下这里提到的AMSL公司的背景。ASML (全称: Advanced Semiconductor Material Lithography,公司的注册标识为ASML Holding N.V),中文名称为阿斯麦(中国大陆)、艾司摩尔(中国台湾)。是总部设在荷兰Veldhoven的全球最大的半导体设备制造商之一,向全球复杂集成电路生产企业提供领先的综合性关键设备。这么一说大家应该明白,为什么从ASML那里得到的消息可靠,因为他们要向台积电、Global Foundries这类晶圆厂提供可用于更先进工艺制程的生产设备,可以第一时间知道各工艺厂商在新的工艺节点的开发进展。

“14nm/16nm工艺的量产将在明年实现”ASML CEO Peter Wennink如是说。此前业界一直认为这一量产时间点将是2016年。

“从我们目前看到的需求,用户都计划在2015年实现14nm/16nm工艺产品的量产”Wennink表示。

可以用“万事俱备”来形容10nm工艺的生态,但7nm的成熟还需要一个过程。

“一些10nm工艺上至关重要的客户正在敦促我们开发和采用EUV技术”Wennink补充,可以推断,没有EUV,10nm工艺的实施将非常困难。

针对EUV,ASML的客户目前每次EUV光刻每天可实现500片晶圆的产量,ASML相信到2016年,EUV设备可实现1500wpm的产能,到那时,最先进的EUV设备的成本可达到9000万美元。

Wennink透露,ASML正在和一个客户合作,计划在2016年下半年进入10nm逻辑节点,这是14nm和7nm的一个中间节点。

目前ASML已经具备了80W的光源能力,理论上可以实现1000wpm的产能,但Wennink指出,出于设备维护需求的考虑,这一理论吞吐量降到500wpm。

关于EUV光刻技术

此前,EUV技术曾经在65nm节点被寄予厚望,但由于光源不足、光刻胶和掩膜版等相关技术不到位等原因,其进入量产的时间不断被推迟。同时,常规光刻技术仍在进步,目前尚未真的需要EUV光刻。不过,随着芯片产商们开始关注20nm以及更先进的技术节点,人们对关键层可采用哪些技术存在疑惑,包括对双重图形的成本、高折射率浸入式光刻缺乏支撑以及EUV量产的准备程度等问题仍存疑虑。有观点认为20nm可能是EUV大展拳脚的时间点,也有较为悲观的人认为至少要到2016年EUV才会为量产所用。至少到2013年,ArF双重图形技术和EUV都是热门的候选方案。

相较而言,EUV更具有延展性,极有希望支持小于10nm的技术节点。

现在看来,EUV的春天来了。

关于14nm/16nm工艺的更多资讯,欢迎访问 与非网14nm/16nm工艺专区

与非编译,未经许可,不得转载!

推荐器件

更多器件
器件型号 数量 器件厂商 器件描述 数据手册 ECAD模型 风险等级 参考价格 更多信息
SQJ409EP-T1_GE3 1 Vishay Intertechnologies Power Field-Effect Transistor, 60A I(D), 40V, 0.007ohm, 1-Element, P-Channel, Silicon, Metal-oxide Semiconductor FET, SO-8L, 4 PIN

ECAD模型

下载ECAD模型
$1.45 查看
395005006 1 Molex Terminal and Terminal Block,
$2.68 查看
CKG57NX7S2A226M500JH 1 TDK Corporation of America Ceramic Capacitor, Multilayer, Ceramic, 100V, 20% +Tol, 20% -Tol, X7S, 22% TC, 22uF, Surface Mount, 2220, HALOGEN FREE, ROHS AND REACH COMPLIANT

ECAD模型

下载ECAD模型
$4.31 查看

相关推荐

电子产业图谱