加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 正文
  • 推荐器件
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

【他山之石】从ASML的成长看中国装备业的发展

2018/05/23
218
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

 

本文共分六个部分:
 
一、序言
 
二、光刻机技术发展及未来趋势
 
三、光刻机“寡头”市场
 
四、巨人 ASML 成长分析
 
1、发展历程
 
2、上市、资金与并购、技术
 
3、不断投入研发,适时更新产品
 
4、外包联合开发,构建以 ASML 为核心产业链联合体
 
5、主动出击,全力拓展新兴市场,扩大发展空间
 
五、国产光刻机的发展
 
1、历史
 
2、现状
 
3、重大突破
 
六、如何看我国半导体装备业的发展
 
以下正文
一、引言
ASML 脱胎于飞利浦光刻设备研发小组。飞利浦从 1971 年开始,在此前开发的透镜式显影装备基础上,开发透镜式非接触光刻设备。虽然在 1973 年成功推出新型光刻设备,在整体性能研发方面取得一定成功,但由于成本高昂,且存在一系列技术问题,很难对外推广。同时,其他设备商在解决接触式光刻机的缺陷问题上用不同的技术路径取得了突破。于是,飞利浦计划要关停光刻设备研发小组。
 
这时 ASMI 找上门来要求合作开发生产光刻机。ASMI 是什么来头呢?这里有必要介绍一下。ASMI(Advanced Semiconductor MaterialsInternational)是由 Arthur del Prado 在 1964 年创办,初时是一家半导体设备代理商。Arthur del Prado 非常富有战略眼光且专注半导体,很快在半导体业界风生水起,并于 1971 年公司开始转型进入封装设备生产,慢慢扩大到前道设备,1976 年公司的 PECVD 进入市场,奠定 ASMI 作为原始设备生产商的地位。1981 年公司成功上市。
 
ASMI 兴冲冲而来,没想到热脸贴冷屁股。飞利浦已经心灰意冷了,但耐不住的 Arthur del Prado 的软磨硬泡,于是同意与 Advanced Semiconductor Materials B.V. 合作,在 1984 年 4 月成立 Advanced Semiconductor Material Lithography Holding N.V.。
 
ASML 当时面临三大问题,一个是技术落后,飞利浦公司先前研发的技术在漫长的等待中已经过时,远不能满足客户要求;二是市场已经饱和,竞争非常激烈,强手如林,日本的 Nikon、Canon、Hitachi,美国的 GCA、SVG、Ultratch、ASET、Perkin-Elmer、Eaton,民主德国的 Zeiss 等相继推出了自己的光刻机产品;三是资金严重匮乏。
 
据说当时员工都对 ASML 的未来没有信心。现在 ASML 公司官方网站里的“Our History”里,都用了“inauspiciously”这个词描写当时的情况。
 
  
是什么原因让 ASML 杀出重围,并成长为光刻机领域的绝对龙头,全球市占率达到近 70%,垄断高端 EUV 光刻机市场。
 
观其成长之路,可谓一段产业传奇。成立之初,由于技术落后和资金不足,加上产业周期性衰退,几乎陷入破产境界;1995 年上市,充裕的资金让公司发展提速;2000 年推出 TWINSCAN 双工件台光刻机,一举奠定霸主地位;进入 EUV 时代,得到大客户支持,更是一骑绝尘。可以说 ASML 的龙头之路既与产业大环境密切相关,也是其自身重视研发,对研究创新始终采取开放态度的必然结果。
 
二、光刻机技术发展及未来趋势
在说 ASML 的故事前,还是先说说光刻机的发展情况。
 
光刻机是集成电路制造中最精密复杂、难度最高、价格最昂贵的设备,用于在芯片制造过程中的掩膜图形到硅衬底图形之间的转移。(上刻出晶体管器件的结构和晶体管之间的连接通路。)
 
集成电路在制作过程中经历材料制备、掩膜、光刻、刻蚀、清洗、掺杂、机械研磨等多个工序,其中以光刻工序最为关键,因为它是整个集成电路产业制造工艺先进程度的重要指标。
 
光刻机的发展经过了一个漫长的过程,1960 年代的接触式光刻机、接近式光刻机,到 1970 年代的投影式光刻机,1980 年代的步进式光刻机,到步进式扫描光刻机,到浸入式光刻机和现在的 EUV 光刻机,设备性能不断提高,推动集成电路按照摩尔定律往前发展。
 
曝光光源方面,从 1960 年代初到 1980 年代中期,汞灯已用于光刻,其光谱线分别为 436nm(g 线)、405nm(h 线)和 365nm(i 线 )。然而,随着半导体行业对更高分辨率(集成度更高和速度更快的芯片)和更高产量(更低成本)的需求,基于汞灯光源的光刻工具已不再能够满足半导体业界的高端要求。
 
1982 年,IBM 的 Kanti Jain 开创性的提出了“excimer laser lithography(准分子激光光刻)”,并进行了演示,现在准分子激光光刻机器(步进和扫描仪)在全球集成电路生产中得到广泛使用。在过去的 30 年中,准分子激光光刻技术一直是摩尔定律持续推进的关键因素。使得芯片制造中的最小特征尺寸从 1990 年的 500nm 推进至 2016 年 10nm,台积电和三星都宣称 2018 年要量产 7nm 产品。
 
光刻系统中常用的 DUV 准分子激光器是 248nm 波长的 KrF 和 193nm 波长的 ArF。1980 年代准分子激光光源的主要制造商是 Lambda Physik(后并入 Coherent, Inc.)和 Lumonics。自 1990 年代中期以来,Cymer 公司(原 ASML 合作伙伴,2013 年并入 ASML)和 Gigaphoton Inc.(尼康光刻机的光源合作伙伴)已成为光刻设备制造商的准分子激光光源的主要供应商。
 
使用 193nm ArF 光源的干法光刻,其工艺节点可达 45/40nm,进一步采用浸液式光刻、配合比较激进的可制造性设计(DfM)等技术后,可达 28nm;而要进到更高端制程时,就必须采用辅助的多重曝光(Multiple Patterning,MP)。然而使用多重曝光会带来两大问题:一是光刻加掩膜的成本上升,而且影响良率,多一次工艺步骤就是多一次良率的降低;二是工艺的循环周期延长,多重曝光不但增加曝光次数,而且增加刻蚀(ETCH)和机械研磨(CMP)工艺次数,也就是把光刻的步骤分了点给 ETCH 和 CMP。对于使用浸液式光刻+多重图形曝光的 193nm ArF 光刻机可以将工艺缩小到 10nm。
 
而 EUV 作为下一代技术的代表,不需要多重曝光,一次就能曝出想要的精细图形,没有超纯水和晶圆接触,在产品生产周期、OPC 的复杂程度、工艺控制、良率等方面的优势明显。但是也需要继续优化。特别是 EUV 的曝光方式,降低 EUV 掩膜版的缺陷,以及晶圆产率方面还有很大发大空间。目前市场有多款 EUV 机型并开始出货,剑指 7nm、5nm。
 
虽然 EUV 光刻机已经开始出货,但由于其成本昂贵且交期长,一般的公司可能暂时用不上甚至也买不到机台,所以现在光刻机市场主要以 193nm ArF 光刻机为主。
 
如果工艺制程继续延伸到 1nm 或以下,如果 EUV 单次曝光已经无法满足今后工艺要求的话,会不会出现 EUV+多重曝光呢?
 
电子束直写技术还有可能重出江湖吗?虽然它曝光一片晶圆的时间有点恐怖。
 
目前光刻技术的发展方向主要表现为缩短曝光光源波长、提高数值孔径(NA)和改进曝光方式。但不管技术如何发展,产率肯定是要考量的。

 

 
三、光刻机“寡头”市场
随着时间的推移,工艺技术的进步,Hitachi、GCA、SVG、Ultratch、ASET、Perkin-Elmer、Eaton、Zeiss 等,有的已经退出光刻机市场,有的被收购,有的转战先进封装用光刻机市场。
 
目前全球半导体前道用光刻机的生产厂商有 4 家,分别是 ASML、Nikon、Canon 和上海微电子(SMEE),其中尤其以 ASML 为佳,一家独占 7 成的市场。
 
2017 年全球晶圆制造用光刻机台出货不足 300 台,其中 ASML 共就出货 198 台,占全球近 7 成的市场。其中 EUV 光刻机 11 台,ArFi 光刻机 76 台,ArF 光刻机 14 台,KrF 光刻机 71 台,i-line 光刻机 26 台。2017 年单台 EUV 机台平均售价超过 1 亿欧元,2018 年一季度的售价更是接近 1.2 亿欧元,而且是有价无货。
 
 
2017 年 Nikon 出货 26 台光刻机,占有率不足 10%,其中 ArFi 光刻机 6 台,ArF 光刻机 8 台,KrF 光刻机 2 台,i-line 光刻机 10 台。(笔者注:从 1980 年代,Nikon 就开始进入半导体制造领域,在近 40 年的光刻机研究与开发中,已向世界各国或地区销售了各种光刻机超过 9000 多台,曾创下年销量 900 台的纪录,不过自 2008 年和 2009 年丢失中国台湾、韩国市场,公司开始一蹶不振,出货量急速下滑。)
 
2017 年 Canon 出货 70 台,占比 24%,且集中在低端产品,其中 KrF 光刻机 20 台,i-line 光刻机 50 台。(笔者注:从 1970 年代,Canon 公司就涉足半导体制造设备领域, 凭借世界领先的光学及精密机械生产技术,从研制 2:1 缩小投影和接触接近式光刻设备起步,先后向世界市场投放了 PLA 系列步进式、MPA 系列等倍扫描式、投影式和 FPA 系列步进缩小投影式、扫描式三大系列的光学光刻设备约 10000 台,由于公司在技术上的决策失误,从 2008 年逐步退出半导体用光刻机市场。)
 
  
从上图可以看出,2011 年开始,ASML 按销售金额(不含服务费入)计算,就一直占有全球 6 成以上的市场。而 Nikon 尽管在机台出货数量上不如 Canon,但是由于 Canon 的出货机台都是低端的光刻机台,所以 Nikon 的年度销售收入相比 Canon 要高。
 
 
2017 年全球光刻机总出货 294 台,ASML 出货 198 台,占有 68%的市场份额。EUV 光刻机方面,ASML 占有率 100%。在 ArFi 机台方面,全球销售 82 台,ASML 以 76 台,占有率超过 92%。ArF 机台方面,全球销售 22 台,ASML 占比 64%。也就是说,在高端光刻机方面,ASML 占有 88%的市场。
 
 
 
2011-2017 年全球光刻机总出货 1920 台,ASML 出货 1209 台,占有 63%的市场份额。EUV 光刻机方面,ASML 占有率 100%。在 ArFi 机台方面,全球销售 612 台,ASML 以 539 台,占有率超过 88%。ArF 机台方面,全球销售 95 台,ASML 占比 52%。也就是说,在高端光刻机方面,ASML 占有 84%的市场。
 
目前全球知名厂商都是 ASML 的客户,英特尔、三星、台积电都在全力支持 ASML 在 EUV 光刻机方面的研发。Nikon 在 EUV 机台方面只在 2008 年第 4 季出货一台,再也没有任何消息。而 Canon 从 2010 年开始就完全退出了 ArF 领域,只保有低端机出货,转而发力 OLED 光刻机市场。

 

 
四、巨人 ASML 成长记分析 
1、发展历程
 
1984 年飞利浦与 ASMI 合资成立 Advanced Semiconductor Material Lithography Holding N.V.(先进半导体材料光刻控股有限公司);
 
1984 年推出首款产品:PAS2000,采用油压驱动,技术落后同行;
 
1986 年推出首台步进式设备 PAS2500/10,并和镜头制造商 Carl Zeiss 建立密切合作关系;
 
1989 年推出 PAS5000 系统;
 
1991 年推出 PAS5500 系统,这是公司的重大技术突破;
 
1995 年 3 月在 NASDAQ 与阿姆斯特丹交易所上市;
 
1999 年 6 月收购 MicroUnity Systems Engineering Inc. 业务部门 MaskTools,使得公司在先进技术节点方面可以提供最完整的解决方案,改善了公司光刻机的扫描和成像能力,显著增加了聚集深度,扩大了光刻窗口,提高了芯片产量;
 
2000 年 8 月首台 TWINSCAN 系统光刻机出货,以获得最大生产力;
 
2000 年 12 月获得日本首个订单:PAS 5500/750E DUV 和 PAS 5500/400C i-line;
 
2001 年 5 月完成对 Silicon Valley Group, Inc.(SVG)的收购,获得了投影掩罩瞄准技术、扫描技术,极大的提升了公司产品的技术,并在美国拥有了研发生产基地;
 
2001 年 6 月由 ASM Lithography Holding N.V. 更名为 ASML Holding N.V. ;
 
2007 年 3 月 8 日完成收购光刻解决方案提供商 Brion Technologies,Brion 的计算光刻技术(设计验证,分辨率增强技术 RET 以及光学邻近效应修正 OPC)能使半导体制造商得以对制作出的集成电路图形进行仿真,并可更正掩模图形,从而优化制造工艺,提高成品率;
 
2007 年推出首台浸液式设备 TWINSCAN XT:1900i;
 
2010 年推出首台 EUV 设备 TWINSCAN NXE:3100 系统,与之前的光刻机相比,能够使用更短波长的光,使得客户可以制造更小规格的产品,在同一块芯片上集成更多的晶体管;
 
2012 年公司提出“客户联合投资专案”(Customer Co-Investment Program),获得英特尔、台积电、三星的响应,以 23%的股权共筹得 53 亿欧元资金;
 
2013 年 5 月 30 日完成对光源提供商 Cymer 的收购,为公司量产 EUV 设备起决定性作用;
 
2016 年 11 月 5 日收购 Carl Zeiss SMT 的 24.9%股权,以强化双方在半导体微影技术方面的合作,发展下一代 EUV 微影系统。
 
2016 年 11 月 22 日完成对汉微科 Hermes Microvision 收购,以强化对半导体制造商的高科技服务;
 
2017 年 TWINSCAN NXE:3400B 机台正式出货,产率为 125wph 300mm 晶圆。
 
下面笔者就已公司发展历程来进行解读,说说 ASML 这个光刻机巨人是如何炼成的。
 
2、上市、资金与并购、技术
 
半导体产业属于资金密集型、技术密集型产业,光刻机作为推动摩尔定律最关键的设备,研发新产品时更需要庞大的资金投入。ASML 成立之初也面临着技术落后和资金短缺的问题。有消息称,1992 年在遭遇半导体产业周期性衰退时,公司资金链断裂,几乎破产会闭。幸亏股东飞利浦及时出手相救,加上公司的轻资产战略,才涉险过关。
 
为了解决资金问题,1995 年 3 月,公司在阿姆斯特丹和美国纳斯达克(NASDAQ)交易所同时上市,充裕的资金一方面增强了公司研发能力,同时也让公司可以进行产业并购,以完善公司的技术,促进光刻技术的发展。
 
1999 年 6 月收购 MicroUnity Systems Engineering Inc. 旗下业务部门 MaskTools,使得公司在先进技术节点方面可以提供最完整的解决方案,改善了公司光刻机的扫描和成像能力,显著增加了聚集深度,扩大了光刻窗口,提高了芯片产量;2001 年 5 月完成收购 Silicon Valley Group, Inc.(SVG),掌握了投影掩罩瞄准技术、扫描技术,极大的提升了公司产品的技术,并在美国拥有了研发生产基地;2007 年 3 月完成收购光刻解决方案提供商 Brion Technologies,掌握了计算光刻技术(包括分辨率增强技术 RET 以及光学邻近效应修正 OPC),计算光刻技术能使半导体制造商得以对制作出的集成电路图形进行仿真,并可更正掩模图形,从而优化制造工艺,提高成品率,涉足的领域包括设计验证;2013 年 5 月 30 日完成对光学技术提供商 Cymer 的收购,为公司量产 EUV 设备提供决定性信用;2016 年 11 月 5 日收购 Carl Zeiss SMT 的 24.9%股权,以强化双方在半导体微影技术方面的合作,为发展下一代 EUV 系统奠定基础;2016 年 11 月 22 日完成收购中国台湾汉微科 Hermes Microvision Inc. (HMI),以强化将公司的全方位微影技术解决方案(包括微影曝光系统、运算微影及量测)。
 
3、不断投入研发,适时更新产品
 
光刻机是技术含量极高的设备,厂商每年需要投入巨额的资金用于研发。ASML 极其重视研发,并对研发创新始终保持开放态度。公司每年的研发投入都在营业收入的 15%左右。 如此大的研发投入,也让公司能适时推出满足市场需求的新品。
 
 
ASML 的光刻机产品线分为 PAS 系列和采用 TWINSCAN 系统的 AT 系列、XT 系列、NXT 系列和 NXE 系列。其中 PAS 系列光源多为高压汞灯光源,PAS 2000 和 PAS5000 系列现已停产,PAS5500 系列还在为产业发挥作用;TWINSCAN AT 系列属于老型号,已经停产。市场上主力机种是 XT 系列以及 NXT 系列,为 ArF 和 KrF 激光光源,XT 系列是成熟的机型,分为干式和浸液式两种,而 NXT 系列则是现在主推的高端机型,全部为浸液式。NXE 系列 EUV 机台主要针对 10 纳米以下的制程节点。 
 
图片来源:ASML 官网
 
公司成立当年,推出了公司第一款产品 PAS 2000 型光刻机,采用油压驱动,技术落后同行。随后靠着飞利浦原有的技术积累和合作伙伴 Carl Zeiss 等的支持,1987 年推出步进式设备 PAS 2500/40,该型光刻机可与当时同类最佳机台媲美;1989 年推出 PAS 5000 系统;1991 年推出 PAS 5500 系统。
 
2000 年 8 月出货首台 TWINSCAN AT:700S,这是公司的重大技术突破,实现了双平台工作,可同时处理两张 12 寸晶圆,生产效率倍增。
 
2000 年以前的光刻设备,只有一个工件台,晶圆片的对准与刻蚀流程都在上面完成。公司在 2000 年推出的 TWINSCAN 双工件台系统,是光刻机行业的一大进步。双工件台的出现,使得光刻机能够在不改变初始速度和加速度的条件下,当一个工件台进行晶圆曝光的同时,另外一个工件台进行曝光之前的预对准工作,并在第一时间得到结果反馈,生产效率提高大约 35%。双工件台系统虽然仅是加一个工件台,但技术难度却不容小觑,对工件台转移速度和精度有非常高的要求。如果工件台转换速度慢,则影响工作效率;如果工件台转换精度不够,则会影响后续的扫描光刻的正常开展。ASML 的 TWINSCAN 导轨式双工作台系统采用其独家的磁悬浮驱动,使得系统能克服摩擦系数和阻尼系数,其加工速度和精度远超机械式和气浮式工作台。今天,ASML 更是开发出了无导轨式的平面编码磁悬浮工作台系统,通过平面编码进行精确定位,从而进一步提高了工作台转换精度。
 
2004 年推出首台浸液式设备 TWINSCAN XT:1250i,2007 年推出首台商用浸液式设备 TWINSCAN XT:1900i,加速工艺往前推进。
 
在 EUV 方面:2010 年推出首台 EUV 设备 TWINSCAN NXE:3100 系统,与之前的光刻机相比,能够使用更短波长的光,使得客户可以制造更小规格的产品,在同一块芯片上集成更多的晶体管。2013 年推出 TWINSCAN NXE:3300B 光刻机,在 13.5 纳米波长理进行光刻,同轴照明解析度提升至 22 纳米,采用离轴照明解析度提升可达 18 纳米,产率为 55wph;2015 年推出的 TWINSCAN NXE:3350B 产率已经来到 80wph;到 2017 年推出 TWINSCAN NXE:3400B 光刻机,解析度提升至 13 纳米,产率高达 125wph。为了发展下一代 EUV 微影系统,ASML 不惜投入巨资,2016 年 11 月以 10 亿美元收购 Carl Zeiss SMT 的 24.9%股权,此外还将投入巨额研发资金,首先一次性投入是 2.44 亿美元,之后 6 年将投入 6 亿美元,这次合作预计投入将近 20 亿美元,双方合作的成果就是将推出数值孔径(NA)不低于 0.5 的 EUV 光刻系统,到时产率可望达 185wph。
 

 

   
4、外包联合开发,构建以 ASML 为核心产业链联合体
 
作为集成电路制造中最精密复杂、难度最高、价格最昂贵的设备,光刻机所需零部件多达数万个,对误差和稳定性的要求极高,如此多的零部件和核心技术,如果由一家公司垄断难以相信。
 
ASML 从成立开始就没有做垂直整合,而是实行轻资产策略。在把控核心技术(光刻曝光技术)的同时,依靠全球产业链分工合作的方式,采取模块化外包协同联合开发策略。该策略使 ASML 得以集世界光刻顶级技术之大成。如光学镜头部件由德国 Carl Zeiss 生产,光源由美国的 Cymer(现 ASML 子公司)提供,计量设备则由美国的 Keysight(Agilent/Hewlett-Packard)制造,传送带则来自荷兰 VDL 集团。正是有了如此多的各细分领域中的顶尖供应商的协同创新,公司可以把主要的研发力量集中在确定客户需求和系统整合上,从而迅速占领了世界光刻机的制高点。
 
零部件模块化外包策略在降低了 ASML 的研发风险和资金成本的同时,也构建了以 ASML 为核心的产业链联合体。ASML 的研究团队与供应商及全球顶尖的科研机构、大学建立广泛的合作,采用开放式创新模式,大家在利己最擅长的尖端技术领域进行创新,分享专利成果和研发风险,合作伙伴也可以将这些技术用于其他领域。并且鼓励供应商在制造过程中提出改进意见,具有极高的效率和灵活性。
 
2012 年 7 月 9 日,公司宣布一个“Customer Co-Investment Program”,该计划允许其大客户对 ASML 进行少数股权投资,并承诺为 ASML 未来计划的研发支出作出承诺。该计划在 2012 年 10 月完成,英特尔、台积电、三星总计以 38 亿欧元的代价取得 23%的股份,并另外出资 13.8 亿欧元支持 ASML 未来五年的 EUV 技术研发,助其快速实现量产,以及获得 EUV 设备的优先购买权。也许是由于美国、韩国、中国台湾三地工程师的天马行空的想法,EUVV 光刻机得以快速成熟起来。
 
5、主动出击,全力拓展新兴市场,扩大发展空间
 
成立之初,ASML 的客户主要是飞利浦。
 
由于 ASMI 创办人 Arthur del Prado 的缘故,他认为半导体的主战场就在美国,所以 ASML 在成立后的第二年就在位于美国亚利桑那州的 TEMPE 设立据点,以把握全球最新的半导体技术动态,1986 年产品正式进入美国市场,到 1999 年美国占其营收的 35%。
 
1987 年由于飞利浦在中国台湾合资成立台积电,ASML 立即跟随在中国台湾新竹设立办事处,1999 年中国台湾占其营收的 24%。
 
1989 年在韩国设立办事处,1990 年产品正式进入,由于三星、现代和 LG 纷纷进入半导体产业,韩国市场迅速爆发,从 1995 年到 1998 年就出货多达 100 台,1999 年韩国为其贡献营收高达 3 亿欧元,占其总营收的 27%。
 
1999 年初,ASML 在香港设立地区总部,统管亚太业务;2000 年依靠代理商 Nissei Sangyo 首次进入日本市场,包括针对 130 纳米的 PAS 5500 / 750E(KrF 248nm)和针对 280 纳米的 PAS 5500 / 400C(业界首款 i-line)。
 
在中国大陆,从 1988 年清华大学向 ASML 订购了首台 PAS 5000 光刻机起,到 2004 年已经向中国发货达到 100 台。
 
1998 年公司开始活跃于俄罗斯市场,2001 年设备正式进入俄罗斯,目前以 PAS 5500 系列为主。
 
由于 ASML 对半导体新兴市场的主动出击,公司获得了极大的发展。1999 年公司营收首次突破 10 亿欧元,达到 12 亿欧元;而 2000 年时营收更是突破 20 亿欧元大关,达到 27 亿欧元;2017 年全球营收超过 90 亿元,其中光刻机营收约 64 亿美元。
 
 
五、国产光刻机的发展
1、历史
 
我国光刻机设备的研制起步也不晚。从 1970 年代开始就先后有清华大学精密仪器系、中科学院光电技术研究所、中电科 45 所投入研制。
 
清华大学精密仪器系是我国历史最悠久的工程学科院系之一,建有“精密测试技术与仪器”国家重点实验室。1970 年代,研制开发了分步重复自动照相机、图形发生器、光刻机、电子束曝光机工件台等半导体设备,其中“分步相机”应用于全国 100 多个厂家,受到好评。
 
中科学院光电技术研究所是中国光刻设备的最早研制机构之一,在 1980 年研制出首台光刻机,分辨率 3μm,属于接触 / 接近式;1991 年研制出分辨率 1um 同步辐射 X- 射线光刻机;1993 年研制出 g 线 1.5um 的分布重复投影光刻机,产率达 32wph;1997 年自主研发完全“0.8-1um 分步重复投影光刻机”。
 
中电科 45 所也是我国最早从事光刻机研发的骨干单位之一。当 1978 年世界上第一台量产型 g 线分步投影光刻机在美国问世后,45 所就投入了分步投影光刻机的研制工作,1985 年研制我国同类型第一台 g 线 1.5um 分步投影光刻机,在 1994 年推出分辨率达 0.8um 的分步投影光刻机,2000 年推出分辨率达 0.5um 实用分步投影光刻机。

 

 
2、现状
 
。2002 年国家在上海组建上海微电子装备有限公司承担“十五”光刻机攻关项目时,中电科 45 所将从事分步投影光刻机研发任务的团队整体迁至上海参与其中。目前,我国从事集成电路前道制造用光刻机的生产厂商只有上海微电子(SMEE)和中国电科(CETC)旗下的电科装备
 
上海微电子装备(集团)股份有限公司(SMEE)是国内技术最领先的光刻机研制生产单位,目前已量产的光刻机有三款(见下表),其中性能最好的是 90nm 光刻机。2016 年国内首台前道 i 线扫描光刻机交付用户。2017 年 4 月公司承担的国家 02 重大科技专项任务“浸没光刻机关键技术预研项目”通过了国家正式验收;10 月公司承担的 02 重大科技专项“90nm 光刻机样机研制”任务通过了 02 专项实施管理办公室组织的专家组现场测试。
 
 
电科装备光刻机是依托原来中电科 45 所的技术,45 所从“六五”开始一直从事光刻机的研制开发工作,先后完成我国“六五”、“八五”、“九五”期间的 1.5 微米、0.8 微米、0.5 微米光刻机的研制任务。2002 年分步投影光刻机研发团队迁至上海后,目前公司主要研制生产用于 100mm/150mm 中小规模集成电路、二极管三极管电力电子器件MEMS 和其它半导体器件制造工艺的单 / 双面接触接近式光刻机产品。
 
 
3、重大突破
 
曝光系统方面:2017 年 6 月 21 日,中国科学院长春光学精密机械与物理研究所牵头研发的“极紫外光刻关键技术”通过验收。长春光机所自 1990 年代起专注于 EUV/X 射线成像技术研究,着重开展了 EUV 光源、超光滑抛光技术、EUV 多层膜及相关 EUV 成像技术研究,形成了极紫外光学的应用技术基础。2002 年,研制国内第一套 EUV 光刻原理装置,实现了 EUV 光刻的原理性贯通。2008 年 02 专项将 EUV 光刻技术列为“32-22nm 装备技术前瞻性研究”重要攻关任务。以长春光机所牵头的项目研究团队历经八年的潜心钻研,突破了制约我国极紫外光刻发展的超高精度非球面加工与检测、极紫外多层膜、投影物镜系统集成测试等核心单元技术,成功研制了波像差优于 0.75nm RMS 的两镜 EUV 光刻物镜系统,构建了 EUV 光刻曝光装置,国内首次获得 EUV 投影光刻 32nm 线宽的光刻胶曝光图形,建立了较为完善的曝光光学系统关键技术研发平台。
 
双工件台系统:北京华卓精科科技股份有限公司(834733)是我国光刻机双工件台系统的研发单位,2015 年 1 月,“45nm 浸没式光刻机双工件台系统样机优化设计”通过了详细设计评审;2015 年 4 月,“65nmArF 干式光刻机双工件台”通过整机详细设计评审,具备投产条件。目前,65nm 光刻机双工件台已获得多台订单。接下来公司要完成 28nm 及以下节点浸没式光刻机双工件台产品化开发并具备小批量供货能力,为国产浸没光刻机产品化奠定坚实基础。作为世界上第二家掌握双工件台核心技术的公司,华卓精科成功打破了 ASML 公司在工件台上的技术垄断。
 
中科院光电所研制出来的 SP 光刻机是世界上第一台单次成像达到 22 纳米的光刻机,结合多重曝光技术,可以用于制备 10 纳米工艺。SP 光刻机利用表面等离子体超衍射光学光刻的原理,能刻出相当于光源波长十分之一甚至二十分之一分辨率的产品。
 
曝光系统和双工件台系统的成功,为我国高端光刻机的研发生产提供了奠定坚实基础。SP 光刻机的研发成功,给我国光刻机装备的追赶带来了曙光。
 
六、如何看我国半导体装备业的发展
02 重大专项以培育真正可用产品、做大做强企业为目标,实施的“下游考核上游,整机考核部件,应用考核技术,市场考核产品”考核制,保证了科研成果的实用性,成就了一大批经得起市场检验的高端产品。电科装备的化学机械抛光设备(CMP)、上海微电子的光刻机、北方华创的刻蚀机和 CVD、盛美的清洗设备、中微的刻蚀机等都是非常有竞争力的,很多产品已经走出国门,或者与国外装备同步验证。
 
客观地讲,这些都是最近几年中国半导体装备产业的亮点。当然这些成绩也只是国产装备的初步发展,要真正做到国产高端装备全面进入市场还有很长的路要走。
 
首先,装备与工艺的结合问题,一直是制约国产装备进入大生产线的主要瓶颈之一。国际半导体装备厂商,特别是关键的、与工艺密切相关的前道设备厂商在工艺研发上投入巨大,一般都建有相应的工艺研发生产线。而目前国内半导体装备厂商还没有建立自己的工艺研发生产线。工艺固化到装备中,我们还有不小的距离。
 
第二,坚持自主研发,从零部件入手,掌控核心技术。国家重大专项对半导体设备与工艺的重视,对国产装备业来说是莫大的发展机会。我国不仅要支持关键装备的研发生产,也要支持相关重要零部件厂商。
 
第三,协同创新,成果共享。目前半导体装备越来越复杂,一家公司独自承担所有零部件的开发确实不易。我们应该利用整个国家、甚至于全球的资源来共同完成。
 
正如 02 重大专项技术总师叶甜春所说,发展装备业,要采取产业链、创新链、金融链有效协同的新模式,专项与重点区域产业发展规划协同布局,主动引导地方和社会的产业投资跟进支持,有效推动专项成果产业化,扶植企业做大做强,形成产业规模,提高整体产业实力。
 
衷心希望有更多的社会资本能投入中国半导体装备业中。半导体装备的国产化远比芯片国产化有意义!

推荐器件

更多器件
器件型号 数量 器件厂商 器件描述 数据手册 ECAD模型 风险等级 参考价格 更多信息
C5750X7S2A226M280KB 1 TDK Corporation of America Ceramic Capacitor, Ceramic,

ECAD模型

下载ECAD模型
$5.62 查看
42815-0012 1 Molex Wire Terminal
$0.93 查看
3031306 1 Phoenix Contact Modular Terminal Block, 30A, 4mm2,
$2.36 查看
飞利浦

飞利浦

飞利浦,是荷兰的跨国电子公司,总部设在阿姆斯特丹。由赫拉德·飞利浦(Gerard Philips)和父亲弗雷德里克·飞利浦(Frederik Philips)于1891年在荷兰埃因霍温创建。 飞利浦在2010年25.42亿欧元的收入,使它成为世界上最大的电子公司之一。 它雇用约114500名员工,在60多个国家。

飞利浦,是荷兰的跨国电子公司,总部设在阿姆斯特丹。由赫拉德·飞利浦(Gerard Philips)和父亲弗雷德里克·飞利浦(Frederik Philips)于1891年在荷兰埃因霍温创建。 飞利浦在2010年25.42亿欧元的收入,使它成为世界上最大的电子公司之一。 它雇用约114500名员工,在60多个国家。收起

查看更多

相关推荐

电子产业图谱

“芯思想semi-news”微信公众号立足事实,采用独家数据,为半导体产业从业人员提供有深度有广度的原创文章和最具收藏价值的数据。