加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 正文
  • 推荐器件
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

Synopsys/Cadence/Mentor三巨头虎踞龙盘,国产EDA厂商该如何突围?

2019/02/01
1176
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

 

 “缺芯少魂”从去年提到今年,芯片这个词不时跳到大众的眼前,甚至还有互联网企业,房地产企业等跨行搞芯片。芯片要弄,怎么弄?

其实集成电路产业链是一个非常复杂的过程。具体可以看一下下图:


 

在图中可以发现,IC 设计处于整个集成电路产业链的最顶端。那今天与非网小编就带大家来了解一下其中的一项技术 - EDA 技术。要知道 EDA 技术发展至今已有 30 多年历史,作为集成电路芯片产业最上游的一部分,它发挥着非常关键的作用。

什么是 EDA?
所谓的 EDA,其实是电子设计自动化(Electronic Design Automation)软件的简称,是集成电路芯片产业链最上游、最高端的子行业。工程技术人员利用 EDA 工具,那么芯片的电路设计、性能分析、设计芯片版图的整个过程都可以通过计算机自动处理完成。有人可能就要问了,EDA 工具对行业的重要性有多大?一片芯片中有集成了上亿个晶体管,如果工程师不借助 EDA 工具,而光靠人工设计电路、绘图,那么工程师们必然会累到崩溃的地步。更进一步说厂商要研发设计出高端的芯片,离开了 EDA 显然是无法完成的。

EDA 的历史
EDA 技术近几年获得飞速发展,应用领域越来越广泛,其发展过程是现代电子设计技术的重要历史进程,主要包括以下几个阶段。

早期阶段,即 CAD(Computer ssistDesign)阶段。20 世纪 70 年代左右的社会已经存在中小规模的集成电路,当时人们采用传统的方式进行制图,设计印刷电路板和集成电路,不仅效率低、花费大,而且制作周期长。人们为了改善这一情况,开始运用计算机对电路板进行 PCB 设计,用 CAD 这一崭新的图形编辑工具代替电子产品设计中布图布线这类重复性较强的劳动,其功能包括设计规则检查、交互图形编辑、PCB 布局布线、门级电路模拟和测试等。

发展阶段,即 CAE(ComputerAssist Engineering Design)阶段。20 世纪 80 年代左右,EDA 技术已经到了一定的发展和完善阶段。由于集成电路规模逐渐扩大,电子系统变得越发复杂,为了满足市场需求,人们开始对相关软件进行进一步的开发,在把不同 CDA 工具合成一种系统的基础上,完善了电路功能设计和结构设计。EDA 技术在此时期逐渐发展成半导体芯片的设计,已经能生产出可编程半导体芯片。

成熟阶段。在 20 世纪 90 年代以后,微电子技术获得了突飞猛进的发展,集成几千万乃至上亿的晶体管只需一个芯片。这给 EDA 技术带来了极大的挑战,促使各大公司对 EDA 软件系统进行更大规模的研发,以高级语言描述、系统级仿真和综合技术为特点的 EDA 就此出现,使得 EDA 技术获得了极大的突破。

 

全球发展局势
EDA 行业从上个世纪八九十年代的百家争鸣发展到如今,已形成了美国的新思科技(Synopsys)、同样是来自美国的楷登电子科技(Cadence)、2016 年被德国西门子收购的明导国际(Mentor Graphics)三足鼎立之势。据统计,2017 年全球 EDA 行业总收入的 70%都装进了三巨头的腰包。

这三巨头都是在市场上经过残酷而激烈的竞争后走到今天的,是在经过多伦优胜劣汰后幸存下来的佼佼者,并已形成了强大而牢固的产业链、专利壁垒和人才优势。所以,后来者要进入该行业并谋求扩大市占率,绝非是件容易办到的事情。接下来,咱们就逐一盘点一下:

Synopsys(新思科技,美国):一直致力于复杂芯片系统(SoCs)的开发。Synopsys 的逻辑综合工具 DC(design compiler)和时序分析工具 PT(Prime Time)在全球 EDA 市场几乎一统江山。

Cadence(楷登电子科技,美国):产品涵盖了电子设计的整个流程。全球知名半导体与电子系统公司均将 Cadence 软件作为其全球设计的标准。Cadence 的 Virtuso 工具历经 27 年不衰,成为业内传奇。


 

Mentor Graphics(明导国际,2016 年被德国西门子收购):Mento 的工具虽没有前两家全面,但在某些领域,如 PCB(印刷电路板)设计工具等方面有可圈可点的独到之处。

目前,能涵盖整个芯片设计和生产环节的 EDA 提供商只有 Cadence 和 Synopsys,苹果、高通,以及英特尔等芯片生产能力排名靠前的厂商都需要向这两家公司采购软件和服务,当然也包括中兴在内。美国也正是看准了这一点,不止一次地将作为芯片设计基础的 EDA 工具当做手中一大杀器,禁止三巨头之一的 Cadence 对中兴提供服务——这就意味着把中兴从美国购买芯片的路堵住以后,再把自主设计之路也堵死。说实话,美国这一招真是又准又狠。

看到这里,可能有人会说: EDA 公司不就是一个卖高端软件的么?说的没错,EDA 公司向下游客户销售的 EDA 工具,实际上卖的是证书(license),就像使用 windows 操作系统或 office 软件以及其他应用软件时要用到注册码一样。EDA 公司的一张证书就相当值钱了,以华为为例,2014 年底华为为了获得楷登电子科技的证书,一次就花了 3000 万美元。EDA 公司除了向客户售卖软件工具外,还卖向客户出售 IP 核。IP 核是具有知识产权(Intellectual Property)的集成电路芯核的简称。EDA 供应商把电路设计所需的功能扩展件以软件 IP 核构件的形式出售给客户,这可以理解为功能扩展包。

 

国内 EDA 市场
我国 EDA 行业的起步还是很早的,但由于下游产业发展没有对上游产生有效刺激,所以发展的比较缓慢,我国企业目前与 EDA 三大巨头之间存在较大的技术差距。国内 EDA 市场,有 95%以上的份额是被国际供应商占据。

但是,近年来我国 EDA 企业的发展也呈现出可喜的势头。中国 EDA 行业三剑客已经隐现:华大九天、广立微、芯禾科技。

华大九天

北京华大九天软件有限公司成立于 2009 年 6 月,为中国电子信息产业集团(CEC)旗下集成电路业务板块二级企业,集成电路设计自动化(EDA)软件及硅知识产权(IP)提供商。致力于提供专业的 EDA 解决方案,涉及数模混合 / 全定制 IC 设计、平板(FPD)全流程设计及高端 SoC 数字后端优化方向。

公司产品

Standard Cell/IP 设计 -Aether

华大九天模拟 / 全定制 IC 设计平台 Aether 可支持标准单元库 /IP 的原理图设计、版图设计,可无缝集成华大九天的 SPICE 仿真工具 ALPS-AS 和版图验证工具 Argus,也可以集成第三方的主流工具,使整个设计流程更加平滑、高效。

Standard Cell/IP 仿真—ALPS-AS/iWave
ALPS-AS 是高精度的晶体管级并行 SPICE 仿真工具,支持标准单元(可与主流建库工具集成)、IP 模块的 SPICE 仿真分析;其独有的 RC 约减和并行仿真技术,能够有效满足深亚微米及纳米工艺的 IP 设计后仿真。iWave 是高性能的混合信号波形显示、分析工具,支持多种主流的波形格式,能够便捷的对波形进行各种分析和后处理,比如 measure,calculator 等。

Standard Cell/IP 验证—Argus/FlashLVL/PVE
Argus 是高效的版图验证工具,支持扁平化、层次化和多线程的验证方式,除独有的语法格式外,还可兼容主流版图验证工具的语法。Argus 支持 DRC/LVS/LVL/ERC 等多种验证,PVE 可以将验证结果直接返标到 Aether 的原理图、版图设计中,也可以返标到主流的 IC 设计平台中。   

IP Merge—Skipper
Skipper 是高效的版图显示、查看、编辑平台,支持超大规模数据的快速读取和显示;IP Merge 功能支持图形化界面、脚本等多种方式的快速 IP 合并;同时集成了 Argus/FlashLVL,可以对版图进行验证并快速返标。

广立微

杭州广立微电子有限公司成立于 2003 年,总部位于杭州,是一家专为半导体业界提供性能分析和良率提升方案的领先供应商。我们提供基于测试芯片的软、硬件系统产品以及整体解决方案。

公司产品
参数化单元创建工具——SmtCell®
SmtCell®可以为芯片制造公司、设计公司及 IDMs 创建多种类型的参数化单元,用户可以在创建 SPICE/reliability/RF/ process/ yield 相关的测试结构(MOS transistor, inductor, capacitor, resistor, via chain, comb snake, SRAM bit cell 等)时获得至少 10 倍的效率增益。

测试芯片设计平台——TCMagic®
TCMagic®为设计划片槽和 MPW 测试芯片提供完整的解决方案。它在单一平台上提供版图设计自动化、设计文档和测试程序自动生成。

可寻址测试芯片设计平台——ATCompiler®
ATCompiler® 提供了一个完整的大型可寻址及划片槽内可寻址测试芯片的设计解决方案,功能包括版图自动化生成、全芯片仿真和验证、同一平台下的设计文档和测试程序的自动生成等。可寻址测试芯片包括了可寻址 IP 和测试结构。

WAT 和测试芯片数据的分析工具——DataExp®
DataExp®提供了非常方便的数据过滤和选择操作、强大的绘图功能与自动生成分析报告功能,可以用于方便地分析数据并快速构建多种不同类型的图表来完成 WAT 或 In-line 数据的分析报告。

芯禾科技
芯禾科技由业界专家创立于 2010 年, 专注电子设计自动化 EDA 软件、集成无源器件 IPD 和系统级封装 SiP 微系统的研发。公司致力于为半导体芯片设计公司和系统厂商提供差异化的软件产品和芯片小型化解决方案,包括高速数字设计、IC 封装设计、和射频模拟混合信号设计等。

苏州芯禾 EDA 产品可分为三大产品线:高速信号分析解决方案、射频集成电路解决方案以及集成无源器件(IPD)应用方案。

 

虽然在局部形成了突破,但与全球领先的三大 EDA 厂商相比,国产 EDA 厂商差距非常大,而且差距并不只是体现在销售额上,国内 EDA 厂商还没有能力全面支撑产业发展。

相比三巨头,国内厂商第一个短板就是工具不够全。

其次,国内 EDA 厂商与先进工艺结合比较弱。在与工艺结合方面,三大 EDA 公司有天然优势,它们在新工艺开发阶段就与晶圆制造厂合作,因此对工艺理解很到位,反过来可以更好地改进工具以支持先进工艺,而国内 EDA 厂商只能在工艺开发完以后拿到部分数据。另外,EDA 工具与工艺结合的重要支撑是工艺设计套件(PDK)。作为工具基于工艺开发的一整套基础支撑环境,PDK 包含了器件的模型、结构、设计规则检查以及库等,开发非常复杂,需要较大投入,目前国内 EDA 厂商都比较缺乏 PDK 基础。国内 EDA 产业与先进工艺结合不够的原因,与中国整个半导体生态不够成熟直接相关。

第三个因素是缺人。据估算,国内做 EDA 研发的人大约有 1500 人,其中约有 1200 人在国际 EDA 公司的中国研发中心工作,真正为本土 EDA 做研发的人员,只有 300 人左右。

中国 EDA 发展:由点突破到全产业链
虽然中国的 EDA 企业与技术成熟的行业巨头硬碰硬如同以卵击石,但相对于国外的 EDA 企业,我国的公司更容易实现我国企业定制化的要求,对客户高匹配度的定制化支持,将会是国产 EDA 的生存和发展的武器。

当然如果能与本土顶级晶圆代工公司和芯片设计公司紧密结合,相互促进共同成长,也是非常不错的选择。

本土 EDA 产品要形成自己的完整解决方案,为国内外的设计公司和代工企业提供有力的支持,而不是仅仅起到点缀作用。

在生存和发展的基础上,完成追赶和超越才是我们的发展目标,而实现这一目标的前提就是不断研发和创新。

现在,已经有国外厂商如 Synopsys、Cadence 都在中国大陆进行本土运作,国内的 EDA 厂商就更应该合作并购,一致对外,摆脱体制和机制的束缚,也许就能找到属于自己的点。

 

国产其他 EDA 厂商:
Arcas-Tech  成都奥卡思微电科技有限公司
成都奥卡思微电科技有限公司自 2016 年 1 月成立于硅谷,2017 年 12 月推出 AVE 自动化验证工具软件(已开放两款逻辑验证产品,多项预研中),公司是由三位硅谷中国博士创立,现在落地于成都高新园区。主要业务为集成电路设计自动化系统(EDA)的研发和咨询。

公司产品
形式验证工具——AveMC
应用于芯片设计的功能特性验证,正在越来越多的应用场景取代仿真验证。AveMC 可以用图形化的方式,帮助用户快速建立属性和约束模型,数据表明这一块占了形式验证时间的三分之一,更为精确的模型和计算速度,能发现其他工具忽略的属性完备性检查漏洞。

全流程设计平台——MegaEC
MegaEC 支持设计全流程,能独立于任何工具实现,能处理大型设计。超过半数的设计需要 re-spin. re-spin 的主要原因是功能错误;MegaEC 采用数学方法, 穷尽所有情况,不需要用测试向量,确保设计实现和黄金设计一致。

 

Avatar  深圳鸿芯微纳技术有限公司
深圳鸿芯微纳技术有限公司成立于 2018 年 01 月 12 日,注册资金 1000 万元人民币,是深圳一家计算机软件行业公司。经营范围:微电子超大规模集成电路芯片设计;电子设计自动化软件工具及系统开发;纳米级工艺库开发;产品设计;计算机系统技术服务;销售电子产品;经营进出口业务。

公司产品

placement&Routing 相关工具

 

Cogenda  苏州珂晶达电子有限公司

苏州珂晶达电子有限公司(Cogenda)成立于 2011 年,从事科学计算软件开发,集成电路辅助设计软件开发和相关的技术服务。主要服务于半导体 Foundry 和 Fabless 厂商,航天、国防行业元器件厂商,大专院校和研究院所,客户已遍及国内、欧美和亚太区域。公司的主要产品包括半导体器件和工艺仿真(TCAD)软件;辐射环境、输运和效应仿真分析软件;多物理数值仿真软件;叁维网格划分和数据可视化软件等专业软件。

公司产品
百万网格 TCAD 仿真——Genius
Genius 是跨越 10- 晶体管壁垒 的商业 TCAD 仿真软件,它使得对一些电路单元的仿真变得可能,比如反相器、6 管 SRAM、锁存器触发器,并且仿真时间可以降低一个数量级,极大的提高了仿真效率。

可视化的 Genius——VisualTCAD
VisualTCAD 是器件仿真软件 Genius 的图形化用户界面,致力于提高易用性,以满足初级 TCAD 用户和学生的需求。

三维 TCAD 建模工具——Gds2Mesh
Gds2Mesh 是一个三维 TCAD 模型构建工具,它可以导入 GDSII 版图,然后结合预定义、可定制的工艺规则来生成三维器件模型。

工艺仿真实验平台——VisualFab
VisualFab 是一个工艺仿真实验的综合管理平台,其设计理念来源于 Fab 工程师的工作方式,比如工艺模块、 分批、 晶圆、分批表。 VisualFab 使得用户可以通过非常友好的图形界面来检查,设计晶圆分批实验。

Microscape  天津蓝海微科技有限公司

天津蓝海微科技有限公司 (MircoScape Technology Co., Ltd) 从事专业化的 EDA 软件服务与 EDA 工具定制化开发业务。公司创始团队具有近 20 年的 EDA 开发、市场和运营经验,在寄生参数提取、版图验证、OpenAccess 平台软件开发、PDK 开发与自动生成等多个领域具有独到的技术优势。蓝海微公司定位于为这些公司提供良好的 EDA 软件服务,降低其使用 EDA 工具的成本。

公司产品
Pcell QA + LVS Runset QA 工具——Barde
Barde 工具是一套针对 PDK 进行 QA 的图形化验证工具,它的主要功能是:Pcell QA 以及 LVS Runset QA 。

RCX Runset QA 工具——Tuta
Tuta 工具是一套针对 RCX Runset 进行 QA 的图形化验证工具,它的主要功能是:RCX Runset 精度分析及优化。

RCX Runset QA 工具——Scout
Scout 是为 Foundry 和 IC 设计公司开发版图验证规则文件的自动工具,可自动生成规则文件,并自动生成对应测试向量进行全面测试与验证,大大提高开发效率。

Calibre Code 图形化显示与分析工具——XCal
针对一个典型的 Calibre DRC Code,XCal 工具可图形化显示其 layer 的调用及依赖关系,加速用户分析优化 Code。

除此之外,蓝海微还提供了 ESD/Lach up 规则的版图自动检查工具 Helmet、版图保护电路的自动布线工具及自动检测工具 Shield、Memory Compiler 自动生成工具 MECC 以及 DRC/LVS/RCX/Pcell 的开发及 QA 服务。

Ninecube  湖北九同方微电子有限公司
NineCube 成立于 2011 年,是一家专注 IC 设计服务的国际化软件公司。公司拥有 16 名留美博士核心研发团队,涵盖全球 EDA 领域资深架构师和领先的 IC 设计专家。NineCube 提供完备的 IC 流程设计工具,形成了 IC 电路原图设计、电路原理仿真(超大规模 IC 电路、RF 电路)、3D 电磁场全波仿真的 IC 设计全流程仿真能力。产品软件主要应用于集成电路、RFIC、高速互连 SI、手机等,覆盖通信、国防、电子、电气、汽车、医疗和基础科学等领域。

公司产品
无源器件设计和建模工具——ePCD
ePCD 是新一代 RFIC 无源器件的设计和建模平台, 非常适合用来设计和仿真 RFIC 绕线电感。ePCD 提供了简明易用的电感设计界面,可帮助用户对片上螺旋电感、变压器和差分电感进行优化设计。

全波电磁场方正软件——eWave
eWave 提供了最先进的求解器技术,它基于 Method of Moments(MoM)算法,可解决非常广泛的 RFIC 应用问题。

超大规模集成电路模拟器——eSim
eSim 超大规模集成电路仿真软件整合 SPICE 和 FAST-SPICE 的技术,兼容 SPICE 和 FAST-SPICE 的优点和长处,满足现代用户低功耗、高性能要求,解决了 IC 设计师对混合信号电路设计、验证和最终签审的问题。

除上述工具以外,Ninecube 还可提供射频集成电路模拟器 eRF、波形查看工具 eViewer、电路模拟器 eSpice 以及原理图编辑器 eSchema 等工具。

Platform design automation  北京博达微科技有限公司
北京博达微科技有限公司(Platform Design Automation, Inc.) 以下简称博达微。致力于提供高速、高频和高可靠性集成电路 EDA 解决方案和相关的设计支持服务, 核心团队来自前 Accelicon。业务范围涵盖:器件模型、PDK、标准单元库相关 EDA 工具和设计服务;半导体器件量测系统;针对高端设计公司和代工厂提供一站式的设计支持服务。

公司产品
器件建模平台——MeQLab
全新架构,集成高速仿真器,全局优化器,内建动态模型 QA,面向电路的建模平台。

PDK 验证软件——PQLab
面向 PDK 开发者和设计工程师的 PDK 自动验证软件,是业界唯一的结合 SPICE Model QA 的 PDK 验证平台。

除此之外,公司还提供了多功能半导体参数测试一体机 FS-Pro、半导体参数测量系统 FS 系列、低频噪声测量系统 NC300 等产品。

Proplus  济南概伦电子科技有限公司
济南概伦电子科技有限公司于 2010 年 03 月 18 日在济南市工商行政管理局登记成立,其产品发展方向包括新一代电路仿真及良率导向设计验证平台、针对于十纳米级制造技术的半导体器件建模平台等。

公司产品
通用并行电路仿真器 – NanoSpice™
NanoSpice™是概伦电子全新推出的新一代大容量、高精度、高性能并行 SPICE 电路仿真器,特别对大规模后仿电路仿真进行优化,在保证最高精度的情况下提供业界最快的仿真速度。NanoSpice 的创新并行仿真引擎可以处理五千万器件以上规模的通用型电路仿真,仿真容量远超所有其他的 SPICE 仿真器。

千兆级电路仿真器 – NanoSpice Giga™
NanoSpice Giga™是业界首个千兆级晶体管级 SPICE 电路仿真器,通过独创的基于大数据的并行仿真引擎处理十亿以上单元的电路仿真,可以用于各类存储器电路、定制数字电路和全芯片的仿真验证。

良率导向设计平台 – NanoYield™
NanoYield™ 是业界独创的全集成良率导向设计平台,基于概伦电子业界领先的模型技术,以 NanoSpice™为仿真引擎并采用基于 IBM 授权专利的统计分析算法而打造。

NanoYield 通过高效精准的统计算法和并行加速技术对统计仿真的性能进行无损精度的加速,可以对各种类型的电路包括存储器 / 数字电路 / 模拟电路等进行良率分析和优化设计。

大容量波形查看器 - NanoWave™
NanoWave™是一款大容量、高性能的电路仿真波形查看器,主要针对千兆级 SPICE 电路仿真和良率导向分析所开发,支持标准的 SPICE 电路仿真输出文件。

电路与工艺互动设计平台 – ME-Pro™
"MEPro™ 是业界独创的用于桥接集成电路设计和工艺开发的创新性设计平台,基于概伦电子 Nano Design Environment (NDE)所研发,通过完整的 SPICE 模型分析和验证、工艺平台的评估和比较、以及基于工艺平台的设计辅助等功能。

先进器件建模平台 – BSIMProPlus™
BSIMProPlus™是业界最领先的半导体器件 SPICE 建模平台,基于其集成的并行 SPICE 引擎,BSIMProPlus 提供业界最为强大的全集成 SPICE 建模平台,可以用于对各种半导体器件从低频到高频的各种器件特性的 SPICE 建模。

与非网原创内容,未经许可,不得转载!

推荐器件

更多器件
器件型号 数量 器件厂商 器件描述 数据手册 ECAD模型 风险等级 参考价格 更多信息
08-50-0106 1 Molex Wire Terminal, ROHS AND REACH COMPLIANT

ECAD模型

下载ECAD模型
$0.05 查看
RG1782-1 1 Electrocube Inc RC Network, Isolated, 0.5W, 22ohm, 600V, 0.1uF, Through Hole Mount, 2 Pins, RADIAL LEADED
暂无数据 查看
JANTX1N4148-1 1 MACOM Rectifier Diode, 1 Element, 0.2A, 75V V(RRM), Silicon, DO-35, HERMETIC SEALED, GLASS PACKAGE-2
$0.85 查看

相关推荐

电子产业图谱