加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 正文
  • 推荐器件
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

传美国将对华断供GAA技术相关的EDA工具

2022/08/04
1202
阅读需 7 分钟
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

8月3日消息,据外媒Protocol报道,美国准备对用于设计半导体的特定类型EDA软件实施新的出口限制。据悉,该软件是设计和制造最先进的人工智能芯片至关重要的下一代技术。

报道称,美国拜登政府数月来一直在权衡可能的禁令,但已选择命令商务部发布一项新规则,该规则将有效阻止芯片设计软件(EDA软件)的出口,这些软件是使用“Gate-all-around”(环绕栅极,GAA)新技术制造芯片所必需的。目前GAA技术已经被三星率先应用于其3nm芯片的代工制造。

据悉,新的出口限制可能将在未来几周内实施,目前正在由管理和预算办公室进行审查,但其实施的细节仍在敲定中。

一位知情人士说,美国政府的目标是阻止将设计工具出售给追求人工智能应用的中国大陆公司。

对此消息,白宫和商务部没有回复多次置评请求。

美国战略与国际研究中心国际商务高级顾问兼 Scholl 主席 William Reinsch 将美国限制中国大陆获取关键技术的做法比作一场马拉松:“要赢,美国要么跑得更快,要么打败中国。”

“美国技术霸权正面临(中国大陆)直接挑战,”Reinsch称,“我认为拜登政府想出应对这种挑战的最佳方法就是跑得更快。所以这是等式中更重要的部分,但在国际政治和经济中,‘绊倒’他们是可以的。”

EDA软件是工程师进行芯片设计的关键设计工具,这些产品最终将发送给英特尔、台积电或三星等芯片制造商。EDA软件还可以帮助工程师确保设计在制成芯片之前没有任何问题。

目前在EDA软件市场,Synopsys、Cadence和西门子EDA是全球最大的三家EDA软件厂商。数据显示,2019年Synopsys和Cadence在全球EDA市场的份额分别为32%和22.24%。另外,总部在美国的Siemens EDA(2017年被德国西门子收购,随后更名Siemens EDA)也有着10.3%的市场份额。

中国大陆一直是这三大EDA厂商的重要市场。根据赛迪智库数据显示,2020年Synopsys、Cadence和Mentor(已改名Seimens EDA)三巨头合计占领国内约80%的市场份额,国产EDA厂商的份额仅11.5%,其中华大九天占据了国内EDA市场约6%的市场份额。

根据最近向美国证券交易委员会提交的一份季度文件,Cadence 第二财季有 13% 的收入来自中国。Synopsys披露,同样在其第二财季,其 17% 的收入来自中国。然而,从这些美国证券交易委员会的文件中并不清楚 Cadence 或 Synopsys 的收入中有多少来自用于GAA技术相关芯片的EDA软件,这是非常新的技术。

美国政府计划阻止用于这些先进芯片设计的特定EDA 软件,扩大了对与中国大陆芯片相关出口的现有控制。

为了阻止中国制造最先进的设计,美国早在数年前就已经限制了使用称为极紫外(EUV光刻技术光刻机的对话出口。现有的限制已经包括用于 EUV 制造某些方面EDA软件,但新计划主要针对使用GAA技术构建芯片的工具。

对此消息,Cadence 和西门子没有回复置评请求。Synopsys 的一位发言人表示,该公司遵守美国的所有出口管制。

值得注意的是,日前,据彭博社援引美国两大半导体设备供应商泛林集团和科磊的消息报道称,美国正在收紧对中国出口芯片制造设备的限制,已经禁止未经许可向中国大陆芯片制造商出售大多数可以制造14nm或更先进制程的芯片的设备。

不过,据泛林集团CEO Tim Archer表示,他了解到的新规定是针对晶圆代工厂,但并不影响储存芯片制造商。

但是,随后据路透社报道,据四位知情人士透露,美国正考虑限制向中国大陆存储芯片制造商出口可以用于128层3D NAND闪存芯片制造的美国设备。

商务部此前告诉Protocol,其工业和安全局(BIS)正在更新其对中国大陆的态度,并寻找“最大限度地提高我们出口管制的有效性”的方法。这包括当前和未来的工作,以收紧与中国大陆先进半导体生产相关的现有政策,利用 BIS 工具箱中的各种法律、监管和适当的执法工具,并发展和加强我们与盟国和合作伙伴的合作。”

由于美国方面的限制,目前中国大陆已经难以制造14nm及以下先进制程的芯片。但是中国大陆的芯片设计厂商依然可以利用EDA工具来设计7nm、6nm甚至是3nm的芯片,然后交由三星、台积电、英特尔等晶圆代工厂商进行制造。

比如,今年6月底三星宣布量产3nm GAA工艺,7月25日,三星代工的首批3nm芯片已完成生产,并在韩国华城园区厂举行了出货仪式。而根据此前信息,中国大陆矿机芯片厂商——上海磐矽半导体技术有限公司则是三星3nm GAA工艺的首批客户。也就是说中国大陆芯片厂商设计的3nm芯片已经领先全球量产了,虽然这只是一颗矿机简单的芯片。或许正因为如此,才进一步刺激到了美国敏感的神经。

总结来看,美国在成功对中国大陆芯片制造商的14nm及以下制程芯片制造能力进行封堵之后,这项针对可用于GAA技术的EDA工具的禁令,将进一步封堵了中国大陆芯片制造厂商向3nm及以下先进制程推进的道路。

编辑:芯智讯-浪客剑

推荐器件

更多器件
器件型号 数量 器件厂商 器件描述 数据手册 ECAD模型 风险等级 参考价格 更多信息
1206GC102KAT1A 1 Kyocera AVX Components Capacitor, Ceramic, Chip, General Purpose, 1000pF, 2000V, ±10%, X7R, 1206 (3216 mm), 0.060"T, Sn/NiBar, -55º ~ +125ºC, 7" Reel

ECAD模型

下载ECAD模型
$0.31 查看
RG1784-8 1 Electrocube Inc RC Network, Isolated, 0.5W, 220ohm, 600V, 0.5uF, Through Hole Mount, 2 Pins, RADIAL LEADED
暂无数据 查看
GCM155R71H103KA55J 1 Murata Manufacturing Co Ltd Ceramic Capacitor, Multilayer, Ceramic, 50V, 10% +Tol, 10% -Tol, X7R, 15% TC, 0.01uF, Surface Mount, 0402, CHIP, ROHS COMPLIANT

ECAD模型

下载ECAD模型
暂无数据 查看

相关推荐

电子产业图谱