加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 正文
  • 推荐器件
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

嵌入式调试里的接口标准JTAG

2020/02/01
348
阅读需 9 分钟
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

大家好,我是痞子衡,是正经搞技术的痞子。今天痞子衡给大家讲的是嵌入式调试里的接口标准 JTAG。

嵌入式开发中,大家免不了需要仿真调试代码,尤其是当应用工程功能逻辑复杂到一定程度时,免不了在写代码时会引入一些逻辑 bug,仅靠代码审查有时候并不一定能排除所有 bug,所以在线调试便成为排除 bug 最有效直接的方式,今天我们要聊的是调试里最基础的东西,即接口标准。ARM 内核原生支持 2 种业界通用的接口标准,分别是 JTAG 和 SWD。本节课痞子衡先给大家详细讲讲 JTAG 接口。

一、JTAG 接口标准

JTAG 全称“Joint Test Action Group”,既是个标准也是个组织,这是个由几家主要的电子制造商(IBM、AT&T、TI、Philips 等)成立于 1985 年的组织,这个组织成立的目的是发起制订一种 PCB芯片测试标准。

JTAG 标准于 1990 年被 IEEE 批准为 IEEE1149.1 测试访问端口和边界扫描结构标准。JTAG 标准规定了进行边界扫描所需要的硬件软件,主要应用于电路的边界扫描测试和可编程芯片的在线系统编程。

1.1 IEEE 1149.1 标准

IEEE 1149.1 工作组 http://grouper.ieee.org/groups/1149/1/

最初版手册 1149.1-1990 http://standards.ieee.org/findstds/standard/1149.1-1990.html

最新版手册 1149.1-2013 http://standards.ieee.org/findstds/standard/1149.1-2013.html

1.2 JTAG 接口信号

JTAG 接口,总称测试访问接口 TAP(Test Access Port),使用如下信号来实现边界扫描操作:

TCK(测试时钟):同步内部状态机操作的时钟信号。

TMS(测试模式选择):控制内部状态机转换的模式信号(TCK 上升沿采样)。

TDI(测试数据输入):移入器件测试或编程逻辑的数据(TCK 上升沿采样)。

TDO(测试数据输出):移出器件测试或编程逻辑的数据(TCK 下降沿采样)。
  

除了以上信号线外,还有 1 个可选的信号:

TRST(测试重置):重置 TAP 控制器的状态机的复位信号

1.3 JTAG 系统内部构造

JTAG 系统内部最基本的单元是边界扫描单元(其扫描获取的值存在边界扫描寄存器 BSR(Boundary Scan Register)中),每个边界扫描单元都位于目标器件的边界上,所以很多时候 JTAG 测试也被称为边界扫描。
  

所有目标器件核心逻辑与针脚之间的信号都会被串联的边界扫描单元所拦截。正常运行时,这些边界扫描单元是不可见的。但是,在测试模式下这些单元可以被用来设置 / 读取目标器件针脚或核心逻辑的值。

    
  

除了上述 BSR 之外,JTAG 系统还需要以下 3 个寄存器:

指令寄存器:存储当前的指令,指令内容被 TAP 控制器用来决定如何处理接收到的信号。

旁路寄存器(BYPASS):把信息从 TDI 传到 TDO 的单位寄存器。

识别码寄存器(IDCODES):含有器件的识别码和版本序号,该信息可以使器件和它的边界扫描描述语言(BSDL)文件相关联。
  

JTAG 系统最核心的是 TAP 控制器,TAP 控制器被设计用来与 JTAG 系统内部寄存器相互动,TAP 控制器是一个被 TMS 信号控制转换的同步状态机,控制着 JTAG 系统的行为。

  

如上图所示,TAP 控制器的内部状态机一共 16 个状态,关于各个状态具体含义可查阅 IEEE1149.1 手册。TAP 控制器的基本功能是产生 BSR 和指令寄存器正常工作所需要的时钟和控制信号,其主要功能有以下几点:

提供信号将指令装入指令寄存器。

提供信号将输入数据从 TDI 管脚移入内部寄存器、把输出数据从内部寄存器移出到 TDO 管脚。

执行相应功能,如捕获、移位和更新数据等。
  

指令寄存器是用来存储需要解释执行的指令的,IEEE 1149.1 标准规定了 JTAG 兼容器件必须要具备的指令:

BYPASS:用单一单元旁路寄存器传送数据,缩短 JTAG 链上不必要的扫描链路。

EXTEST:将已知值(存在 BSR)驱动到芯片针脚上。

SAMPLE/PRELOAD:将捕获到的芯片针脚值装入 BSR。
  

除了必备的指令外,IEEE 1149.1 标准还规定了如下可选的指令:

IDCODE:将 IDCODES 寄存器中的数据移出。

INTEST:将已知值(存在 BSR)驱动到芯片核心逻辑上。

RUNBIST:当 TAP 进入测试运行空闲状态时,芯片进行自检。

1.4 JTAG 调试工具 pinout

通常支持 JTAG 接口的调试编程工具其实只是利用了 JTAG 技术的四线 TAP 通信协议,而除了标准 TAP 信号线外,有时还加入其他辅助信号线构成完整 pinout,对于 ARM JTAG 调试工具来说,有两种比较通用的 pinout 标准,即 ARM20 JTAG header 和 ARM14 JTAG header:

上述两种 ARM JTAG header 中除了标准 TAP 信号线外,其他辅助信号线含义如下:

    

 

  

上述两种 ARM JTAG header 中除了标准 TAP 信号线外,其他辅助信号线含义如下:

二、JTAG 接口进阶

前面讲完了 JTAG 基础知识,下面痞子衡再给大家多介绍一些 JTAG 相关的“黑科技”。

2.1 BSDL 文件

现如今支持 JTAG 接口的芯片越来越多,为了统一各芯片厂商的具体 JTAG 实现,促进整个电子行业的一致性,IEEE1149.1 标准制订了 BSDL 语言规范。BSDL 是 JTAG 设备的标准建模语言,它的语法是 VHDL 的子集,是对 JTAG 器件的边界扫描特性的描述,主要用来沟通芯片厂商、用户与测试工具之间的联系。

开源的 JTAG BSDL 库网站(http://bsdl.info/),涵盖主流厂商的主流芯片的 BSDL 文件

  

痞子衡随便找一款芯片的 BSDL 文件(Freescale K60_1M(K24_144QFP))简单分析下:

 

 

2.2 JTAG 菊花链

当你的系统中有多个 JTAG 设备时,为解决 JTAG 口过多占用 PCB 的问题,JTAG 支持如下菊花链方式连接(在 FPGA 应用尤其广泛):

    

 

  

从上图可以看出 TMS、TCK 是一主多从并联的结构(设备过多时 TMS,TCK 电路需加缓冲器(如 74LVC245)增加驱动能力);TDI、TDO 是一主一从串联的结构,这种菊花链方式使得 PCB 上只需要一个 JTAG 接口便可以访问所有 JTAG 设备。

  

至此,嵌入式调试里的接口标准 JTAG 痞子衡便介绍完毕了,掌声在哪里~~~

推荐器件

更多器件
器件型号 数量 器件厂商 器件描述 数据手册 ECAD模型 风险等级 参考价格 更多信息
TLP293-4(GB,E 1 Toshiba America Electronic Components TRANSISTOR OUTPUT OPTOCOUPLER
$1.64 查看
9HT10-32.768KDZF-T 1 TXC Corporation Parallel - Fundamental Quartz Crystal, 0.032768MHz Nom, ROHS COMPLIANT, SMD, 2 PIN

ECAD模型

下载ECAD模型
$0.58 查看
CMR200T32768DZBT 1 Citizen Finedevice Co Ltd Parallel - Fundamental Quartz Crystal, 0.032768MHz Nom, SMD, 2 PIN

ECAD模型

下载ECAD模型
$0.73 查看

相关推荐

电子产业图谱

硕士毕业于苏州大学电子信息学院,目前就职于恩智浦(NXP)半导体MCU系统部门,担任嵌入式系统应用工程师。痞子衡会定期分享嵌入式相关文章