加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 正文
    • 基于 FPGA 的伪随机序列发生器设计
    • 4 FPGA 的 LSFR 验证
  • 推荐器件
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

基于FPGA的伪随机序列发生器设计

2020/07/02
484
阅读需 8 分钟
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

基于 FPGA 的伪随机序列发生器设计

1 基本概念与应用

1)LFSR:线性反馈移位寄存器(linear feedback shift register, LFSR)是指给定前一状态的输出,将该输出的线性函数再用作输入的移位寄存器。异或运算是最常见的单比特线性函数:对寄存器的某些位进行异或操作后作为输入,再对寄存器中的各比特进行整体移位。

LFSR 产生的两种形式为伽罗瓦(Galois)和斐波那契(Fibonacci)两种形式。也有成为外部(External)执行方式和内部(Internal)执行方式。

(1)伽罗瓦方式(Internal)

 

 

 

Galois 方式特征数据的方向从左至右,反馈线路是从右至左。其中 X^0 项(本原多项式里面的‘1’这一项)作为起始项。按照本原多项式的指示确定异或门(XOR)在移位寄存器电路上的位置。如上图所示 X^4。因此 Galois 方式也有人称作线内或模类型(M- 型)LFSR。

(2)斐波那契方式(External)

  

从图中我们可以看到 Fibonacci 方式的数学流向和反馈形式是恰好跟 Galois 方式相反的,按照本原多项式,其中 X^0 这一项作为最后一项,这里需要一个 XOR 门,将本原多项式中所给的 taps 来设定它的异或方式。因此 Fibonacci 方式也被叫做线外或者简型(S- 型)LFSR。

2)本原多项式

本原多项式是近世代数中的一个概念,是唯一分解整环上满足所有系数的最大公因数为 1 的多项式。本原多项式不等于零,与本原多项式相伴的多项式仍为本原多项式。

(1)在 MATLAB 中,本原多项式可以通过函数 primpoly(x)来产生。

(2)在 MATLAB 中,通过函数 gfprimfd(m,'min')可以找到一个最小的本原多项式。

3)应用

误码率测量 -- 在数字通信中误码率是一项重要的质量指标,在实际测量数字通信系统的误码率时,一般来说,测量结果与信源发出信号的统计特性有关。通常认为二进制信号 0 和 1 是以等概率随机出现的。所以测量误码率时最理想的信源应是伪随机序列产生器。这样测量的结果,我们认为是符合实际运用时的情况。

时延测量 -- 有时我们需要测量信号经过某一传输路径所收到的时间延迟,例如,需要测量某一延迟线的时间延迟。另外,我们还常常通过测量一无线电信号在某个媒质中的传播时间,从而折算传播距离,即利用无线电信号测距。这就是说,这种测距的原理实质上也是测量延迟。

噪声产生器 -- 测量通信系统的性能时,常常要使用噪声产生器,由它给出具有所要求的统计特性和频率特性的噪声,并且可以随意控制其强度,以便得到不同信噪比条件下的系统性能。例如,在许多情况下,要求它能产生限带白色高斯噪声

通信加密、数据序列的加扰与解扰、扩展频谱通信、分离多径技术等等。

2 伪随机序列的原理

对于某种反馈逻辑、初始化状态非全零时,若输出序列周期最长(P=2r-1),称为 m 序列,也称为伪随机序列。

伪随机序列通常由反馈移位寄存器产生,又可分为线性反馈移位寄存器和非线性反馈移位寄存器两类。由线性反馈移位寄存器产生出的周期最长的二进制数字序列称为最大长度线性反馈移位寄存器,即为通常说的 m 序列,因其理论成熟,实现简单,应用较为广泛。下面介绍 m 序列的产生原理。

在二进制多级移位寄存器中,若线性反馈移位寄存器(LFSR)有 n 阶(即有 n 级寄存器),则所能产生的最大长度的码序列为 2n-1 位。如果数字信号直接取自 LFSR(非翻转信号)的输出,那么最长的连 0 数为 n-1。除了字符串的连 0 和连 1,伪随机序列在一个长度为 n 的字符串中将包含任何可能的 0 和 1 的组合。要使移位寄存器产生确定的值,必须置其初值并允许时钟电路产生移位时钟。

线性反馈移位寄存器产生 m 序列

在图中给出一个一般的线性反馈移位寄存器的组成。图中一级移存器的状态用表示,=0 或 1,i=整数。反馈线的连接状态用 表示,=1 表示此线接通(参加反馈),=0 表示此线断开。我们不难推想,反馈线的连接状态不同,就可能改变此移存器输出序列的周期 p。

的取值决定了移存器的反馈连接和序列的结构,也就是决定了序列的周期。用特征多项式表示为:

当特征多项式符合某些条件时称为本原多项式。在设计 m 序列产生器时,移位寄存器反馈线的结构直接决定于本原多项式的结构。也就是只要找到本原多项式,就能由它构成 m 序列产生器。

3 matlab 的 LFSR 验证

1)matlab 函数产生本原多项式

clear all

close all

primpoly(8);

2)本原多项式产生随机序列

clear all

close all

% m=8

% x^8+x^4+x^3+x^2+1

% 435

s=[1 1 1 1 1 1 1 1];

t=[8 4 3 2];

[seq c]=LFSRv1(s,t);

4 FPGA 的 LSFR 验证

1)fpga 实现本原多项式

/*m:x^8+x^4+x^3+x^2+1*/ module msequence8#(       parameter seed= 8'b1111_1111   )(   clk,   rst_n,   en,   mse8, //m sequence   rand8   );     input clk, rst_n;    input en;    output mse8;    output reg [7:0] rand8;     assign mse8 = rand8[0];     always @ (posedge clk or negedge rst_n)begin       if(!rst_n)          rand8 <= seed;       else if(en) begin          rand8[0] <= rand8[1];          rand8[1] <= rand8[2];          rand8[2] <= rand8[3];          rand8[3] <= rand8[4];          rand8[4] <= rand8[5];          rand8[5] <= rand8[6];          rand8[6] <= rand8[7];          rand8[7] <= rand8[0] ^ rand8[4] ^ rand8[5] ^ rand8[6];       end       else          rand8 <= rand8;    end endmodule

如上图所示,当 fpga 仿真的输入种子和 matlab 的输入种子一致时产生的序列一致。

上图为随机序列的模拟信号展示。

3)在产生随机数序列的基础上我们可以进一步去产生符合高斯分布的高斯白噪声

推荐器件

更多器件
器件型号 数量 器件厂商 器件描述 数据手册 ECAD模型 风险等级 参考价格 更多信息
EP2S30F672C4N 1 Altera Corporation Field Programmable Gate Array, 13552 CLBs, 717MHz, 33880-Cell, CMOS, PBGA672, 35 X 35 MM, 1 MM PITCH, FBGA-672
$490.75 查看
5M240ZT100I5N 1 Intel Corporation Flash PLD, 14ns, 192-Cell, CMOS, PQFP100, 16 X 16 MM, 0.50 MM PITCH, LEAD FREE, TQFP-100

ECAD模型

下载ECAD模型
$6.8 查看
EP4CE55F23I7N 1 Altera Corporation Field Programmable Gate Array, 3491 CLBs, 472.5MHz, 55856-Cell, PBGA484, 23 X 23 MM, 1 MM PITCH, LEAD FREE, FBGA-484

ECAD模型

下载ECAD模型
$173.1 查看

相关推荐

电子产业图谱

lee
lee

从数字出发,走进图像世界,聆听音频的美妙旋律。从电路出发,实现美妙的算法,展示代码的美奂。从知识到实现,欢迎大家关注公众号FPGA开源工作室。