加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 正文
  • 推荐器件
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

晶圆代工:三星力拼台积电有几多胜算

2019/08/18
75
阅读需 54 分钟
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

台积电近来多事之秋,于 2018 年 6 月完成管理层交班,也分别在 2018 年 8 月发生计算机病毒感染事件,和 2019 年 1 月爆发晶圆质量瑕疵事件,但幸亏 30 年来,制程研发步步为营,稳打稳扎,保证先进制程一路领先。
 
2019 年 4 月 24 日,三星电子公布了未来的投资计划和目标,计划在未来 12 年内(1999 年至 2030 年)投资约 1200 亿美元加强系统 LSI 和晶圆代工业务方面的竞争力;并依靠低价抢下 NVIDIA 下一代 GPU 订单,全然力挑台积电。但现阶段遭遇日本原材料禁运,抹上了一层阴影。
 
本文从发展历程、生产基地、先进制程、封装布局等方面来梳理三星挑战台积电的胜算。
 
一、发展历程 
1、台积电 

1987 年 2 月 21 日成立,台积电正式成立,开创纯晶圆代工新模式;1988 年公司营收超过新台币 10 亿;1991 年公司实现盈利新台币 5 亿,此后无一年亏损;1993 年营收超过新台币 100 亿;1994 年 9 月在中国台湾上市,当年营收达新台币 193 亿(约 6 亿美元);1995 年营收超过 10 亿美元;1998 年营收超过新台币 500 亿;2000 年营收首次超过 1000 亿台币(新台币 1660 亿);2008 年营收超过 100 亿美元(新台币 3330 亿);2012 年营收首次超过新台币 5000 亿;2018 年营收首次超过新台币 10000 亿。2017 年和 2018 年的市场占有率为 56%。
 
作为专业集成电路制造服务业的创始者与领导者,台积电专注为全球 Fabless 公司、IDM 公司和系统集成公司提供晶圆制造服务。自创立开始,台积电即持续提供客户最先进的技术及台积电 TSMC COMPATIBLE 设计服务,在提供先进的晶圆工艺技术与最佳的制造效率上已建立了良好的声誉。
 
台积电在拥有先进技术后,将其转换成生产优势,其中包括良率、可靠性、准时交货性、充足的产能以应付客户需求和生产周期等。技术与生产上的优势,转换成与客户的长期信任关系。
 
2、三星电子
2005 年,三星电子开始进入 12 英寸逻辑工艺晶圆代工领域,2017 年 5 月 12 日,三星电子宣布调整公司业务部门,将晶圆代工业务部门从系统 LSI 业务部门中独立出来,成立三星电子晶圆代工,主要负责为全球客户制造非存储芯片,从而与以台积电为首的纯晶圆代工公司竞争。
 
从 2005 年到 2009 年,三星电子的年代工营收不足 4 亿美元。到 2010 年啃上苹果(Appple),开始代工苹果 A 系列处理器(包括 A4、A5、A6、A7),代工营业收入出现爆长,2010 年整体代工收入激增至 12 亿美元(其中苹果 A 系列处理器产品代工收入达 8 亿美元)。由于苹果手机等移动终端产品出货激增,三星电子的晶圆代工营收水涨船高,到 2013 年达到 39.5 亿美元,当年苹果的代工收入占到公司代工总收入的 86%。可以说 2010 年至 2013 年三星电子的代工营收完全是靠苹果在支撑。
 
由于 20 纳米工艺制程良率无法突破等多方面的原因,2014 年三星电子失去苹果 A 系列处理器订单,苹果 A8 处理器全部交由台积电(TSMC)代工;2015 年好不容易抢到 A9 处理器部分订单,但由于良率和功耗控制不如台积电,导致 2016 年的 A10 处理器又全部由台积电包圆。由于失去苹果这个大客户,导致 2014 年和 2015 年晶圆代工营收出现下滑。
 
为了填补产能,三星电子代工部门积极出击,抢下高通Qualcomm应用处理器服务器芯片、超微半导体(AMD)的微处理器芯片、英伟达(Nvidia)的图形处理芯片、安霸(Ambarella)的视觉处理芯片、特斯拉(Tesla)的自驾系统芯片的订单,得以弥补苹果跑单的窘境。2016 年营收达到 44 亿美元,超过 2013 年的水平,创下三星电子晶圆代工营收的新纪录。
 
根据市场研究公司 IC Insights 的数据显示,三星电子 2017 年晶圆代工营收达 46 亿美元,在全球晶圆代工市场以 6%的市占率排名第四,前三分别是台积电(TSMC)的 56%,格芯半导体(GlobalFoundries)的 9%,联电(UMC)的 8.5%;2018 年晶圆代工营收达 100 亿美元,市占率达 14%,排名全球第二。
 
2018 年三星电子排名全球第二大晶圆代工公司,并非业绩大增,实乃拆分部门导致。原因是晶圆代工部门自立门户,不再隶属于系统 LSI 业务。所以现在包括处理器芯片(Exynos 等)、CIS 图像传感器、显示驱动芯片电源管理芯片的生产收入都算作晶圆代工部门营收,因此营收一路高涨,市占率一夕飙高。
 
二、生产基地 
1、台积电 

目前在中国台湾拥有三座 12 英寸超大晶圆厂、四座 8 英寸晶圆厂和一座 6 英寸晶圆厂,在中国大陆有一座 12 英寸晶圆厂和一座 8 英寸晶圆厂,在美国拥有一座 8 英寸晶圆厂。位于中国台湾的第四座 12 英寸超大晶圆厂 FAB18 的第一期也于 2019 年顺利投产。
 

 
2018 年提供 261 种不同的制程技术,为 481 个客户生产 10436 种不同产品,晶圆出货量达 1080 万片 12 英寸约当晶圆量。
 
2019 年,台积电预计提供约 1200 万片的 12 英寸约当晶圆的年产能,其中 7 纳米产能约 100 万片 12 英寸晶圆。

3nm 工厂也在计划建设中。
 
2、三星电子
到 2019 年底,三星电子晶圆代工专属线将增至 7 条,包括 6 条 12 英寸和 3 条 8 英寸。

目前,三星电子代工业务可以提供包括 65 纳米、45 纳米、32/28 纳米 HKMG、14 纳米 FinFET、10 纳米 FinFET、7 纳米 FinFET EUV 工艺,客户包括苹果、高通、超微半导体、赛灵思、英伟达、恩智浦NXP)以及韩国本土公司 Telechips 等。
 

 
韩国器兴(Kiheung)的 S1,建成于 2005 年,是三星首条 12 英寸逻辑代工生产线,目前量产 65 纳米至 8 纳米低功耗芯片,产品主要用于计算机网络、智能手机、汽车、以及日益成长的物联网市场等。
 
美国奥斯汀(Austin)的 S2 是由原 8 英寸厂改造而来;2010 年 8 月开始洁净室建设,2011 年 4 月开始 12 英寸逻辑产品投产,当年达产 43000 片;目前量产 65 纳米至 14 纳米产品。2010 年设立研发中心,旨在为系统 LSI 部门开发高性能、低功耗、复杂的 CPU 和系统 IP 架构和设计。
 
韩国华城(Hwasung)的 S3,是 2018 年建成投产的 12 英寸逻辑生产线,目前主要生产 10 纳米至 8 纳米产品,将是三星 7 纳米产品的主力生产厂。
 
韩国华城的 S4,是原 DRAM 用产线 FAB11 进行改造,目前 CMOS 影像传感器(CIS)专用生产线。位于华城的 12 英寸 DRAM 产线 FAB13 也正在加紧改造为 CMOS 影像传感器专用生产线。
 
韩国华城的 EUV 专用产线自 2018 年 2 月开工建设以来,正在加紧建设。工厂将投资 60 亿美元,将于 2019 年下半年完成建设、2020 年正式投产。初期以 7 纳米产品为主,辅以 EUV 光刻机
 
韩国器兴的 8 英寸晶圆代工线新 FAB6 于 2016 年开放,包括原来的 FAB6、FAB7、FAB8 等三个厂,涵盖从 180 纳米到 70 纳米工艺节点,现有产能接近 25 万片,制程技术包括嵌入式快闪记忆体(eFlash)、功率元件、影像感测器 CIS,以及高电压制程的生产,主要针对韩国本土的 Fabless。
  
三、制程
1、台积电
 
自 1987 年成立以来,台积电一直坚持“建立内部研发”战略,为公司带来了显着的竞争优势。台积电通过从中国台湾工业技术研究院转移 3.5μm 和 2μm 技术创办公司,同时为飞利浦定制了 3.0μm 技术。仅仅一年之后,台积电于 1988 年成功开发了自己的 1.5μm 技术。随后进行了一系列持续的成功开发,包括 1.2μm,1.0μm,0.8μm,0.6μm,0.5μm,0.3μm 和 0.25μm 工艺。
 
1999 年,台积电发布了世界上第一个 0.18μm 低功耗工艺技术。从那时起,台积电引领行业不断缩小的线宽技术,从 0.13μm,到 90nm、65nm、40nm、28nm、20nm、16/12nm、10nm、7nm,再到今年的 5nm 工艺。
 
2018 年台积电营收超过新台币 10000 亿,其中先进制程技术(28 纳米及以下更先进制程)的营收占整体营收的 63%,而 7 纳米的的营收占整体营收更是超过 20%,成为第一大营收来源。
 

 
那么我们来看看台积电在 10 纳米以下工艺的布局情况。
 
N7:2017 年 4 月 7nm 开始风险生产,2018 年量产,第三季开始贡献营收,在 2018 年有 40 多个客户产品流片,预计 2019 年还将有 100 多个新产品流片。与 10nm FinFET 工艺相比,7nm FinFET 具有 1.6 倍逻辑密度,约 20%的速度提升和约 40%%的功耗降低。有两个工艺制程可选,一是针对 AP,二是针对 HPC(高性能计算应用)。
 
N7+:2018 年 8 月进入风险生产阶段,2019 年第三季开始量产,是台积电第一个使用 EUV 光刻解决方案的半导体工艺技术。
 
N6:为强化 7nm 技术,提升效能 / 成本优势且加速产品上市时间,2019 年 4 月份推出的 6nm 制程技术,采用 EUV 光刻解决方案,预计将在 2020 年第一季风险试产,第三季实现量产。据悉 N6 工艺比 N7 工艺提供高出 18%的逻辑密度,设计规则与 N7 完全兼容,使其全面的设计生态系统得以重复使用。
 
N5:5nm 技术于 2019 年 3 月进入风险生产阶段,预期 2020 年第二季拉高产能并进入量产。主力生产工厂是 Fab 18。与 7 纳米制程相较,5nm 芯片密度增加 80%,在同一运算效能下可降低 15%功耗,在同一功耗下可提升 30%运算效能。
 
N5P:N5P(5nm+)预计 2020 年第一季开始试产,2021 年进入量产。与 5nm 制程相较在同一功耗下可再提升 7%运算效能,或在同一运算效能下可再降低 15%功耗。
 
3nm:目前没有更多 3nm 的技术信息,但是 3 纳米工厂的投资案已经宣布,计划投资超过新台币 6000 亿元在新竹宝山兴建,预计 2020 年动工,2022 年底量产。
 
2nm:2019 年每下二季宣布正式启动 2nm 工艺的研发,未来工厂将设置在位于新竹南方科技园。
 
2、三星电子

2005 年三星电子进入晶圆代工业;2006 年首个客户签约 65 纳米;2009 年 45 纳米工艺开始接单,同年 11 月在半导体研究所成立逻辑工艺开发团队,以强化晶圆代工业务;2010 年 1 月首个推出 32 纳米 HKMG 工艺;2014 年推出第一代 14 纳米 FinFET 工艺;2016 年 10 月 17 日,第一代 10 纳米 FinFET 工艺量产。
 
那么我们来看看三星电子在 10 纳米以下工艺的布局情况。
 

8LPP:8LPP 在生产工艺转换为 EUV(Extreme Ultra Violet)光刻技术之前,具有最大的竞争优势。结合三星 10nm 技术的关键工艺流程创新,与 10LPP 相比,8LPP 在性能和门电路密度方面提供了额外的优势。2018 年 11 月成功量产 Exynos 9 系列(9820)。
 
7LPP:7LPP 将是第一个使用 EUV 光刻解决方案的半导体工艺技术。这里要强调两点,一是通过和 ASML 的合作,开发出了 250W 最大的 EUV 源功率,这是 EUV 进入到大量生产中的最重要的里程碑,EUV 光刻技术的部署将打破摩尔定律扩展的障碍,为单一的纳米半导体技术的发展铺平了道路;二是关键 IP 将于 2019 年上半年完成研发,下半年将进行投产。
 
5LPE:5LPE 将采用三星独特的智能缩放(Smart Scaling)解决方案,将其纳入基于 EUV 的 7LPP 技术之上,可实现更大面积扩展和超低功耗优势。
 
4LPE/LPP:4LPE/LPP 是三星电子最后一次应用高度成熟和行业验证的 FinFET 技术,结合此前 5LPE 工艺的成熟技术,芯片面积更小,性能更高,可以快速达到高良率量产,也方便客户升级。
 
3LPP:3LPP 将第一次使用全新的 MBCFETTM(Multi Bridge Channel FET,多桥接通道场效应晶体管)结构,基于 GAAFET(Gate All Around FET,环绕栅极场效应晶体管)技术。GAAFET 需要重新设计晶体管底层结构,克服当前技术的物理、性能极限,增强栅极控制,性能大大提升。预计 2020 年投入风险性试产。
 
四、封装布局
1、台积电

2008 年开始先进封装布局。首先成立集成互连与封装技术整合部门,2009 年开始战略布局三维集成电路(3D IC)系统整合平台。
 
目前,台积电先进封装技术 WLSI(Wafer-Level-System-Integration)平台包括既有的 CoWoS 封装、InFO 封装,以及针对 PM-IC 等较低端芯片的扇入型晶圆级封装(Fan-In WLP),还将于 2021 年推出系统级整合芯片(SoIC,System-on-integrated-chips)等封装技术,阵容更加齐整、坚强。 

CoWoS 于 2011 年开发成功,张忠谋在第三季法说会上放言,台积电要进军封装领域。此举震撼半导体业界,特别是封装业;到 2013 年量产时,只有可编程逻辑门陈列供应商赛灵思(Xilinx)一家的 28 纳米产品量产。前 CoWoS 已经获得 NVIDIA、AMD、Google、XilinX、华为海思等高端 HPC 芯片订单。
 
InFO 技术于 2016 年 11 月首度用于 iPhone 7 的 A10 处理器。InFO 技术成功应用于追求高性价比的移动通讯市场,AP 产品是其主要客户。
 
SoIC 根植于 CoWoS 与 WoW(多晶圆堆叠,Wafer-on-Wafer)技术,SoIC 特别倚重于 CoW(Chip-on-wafer)设计,这对于芯片业者来说,采用的 IP 都已经认证过一轮,生产上可以更成熟,良率也可以提升,也可以导入存储器芯片应用。更重要的是,SoIC 能对 10 纳米或以下的制程进行晶圆级的键合技术,这将有助于台积电强化先进工艺制程的竞争力。WoW 技术透过硅通孔(TSV,Through-silicon Vias)互连连接的 10 微米孔彼此接触,将多层逻辑运算单元以立体方式堆叠在一起,架构出高速、低延迟互连性能。虽然 TSV 互连早就运用在 DRAM 及 3D NAND 等存储器的生产技术上,但是用在逻辑运算单元的量产上,却还是首次。
 
2、三星电子
目前,三星电子正在全力推动 FOPLP(面板级扇出型封装,Fan-Out Panel Level Packaging)技术,已量产了 FOPLP-PoP 与 I-Cube 2.5D 先进封装技术,希望可与台积电的 InFO、CoWoS 封装分庭抗礼。
 
I-Cube 2.5D:目前已经量产,可以实现 4 路 HBM 2 显存堆栈。2020 年则会推出 3D SiP 系统级封装,适用于人工智能AI)、高性能计算(HPC)、网络和 GFX,三星电子寄希望 I-Cube 2.5D 能和台积电 CoWoS 封装制程相抗衡。
 
FOPLP-PoP:针对移动终端用应用处理器,号称是抗衡台积电 InFO 的封装制程。2018 年 10 月正式生产 Galaxy Watch 应用处理器(AP)芯片。但目前看来,大规模量产还是不成熟。
 
五、结语
竞技场上拼爹是不够的,还是得凭实力说话。正如台积电(TSMC)凭借尖端工艺技术(Technology)、优质服务(Service)、制造能力(Manufacture),与客户(Customers)建立坚实的伙伴关系,稳定地创造了强而有力的成长。

推荐器件

更多器件
器件型号 数量 器件厂商 器件描述 数据手册 ECAD模型 风险等级 参考价格 更多信息
FT2232HL-REEL 1 FTDI Chip USB Bus Controller, CMOS, PQFP64, LEAD FREE, LQFP-64

ECAD模型

下载ECAD模型
$6.27 查看
STM32F207ZGT6 1 STMicroelectronics High-performance Arm Cortex-M3 MCU with 1 Mbyte of Flash memory, 120 MHz CPU, ART Accelerator, Ethernet

ECAD模型

下载ECAD模型
$13.88 查看
MK60DN512VMC10 1 Freescale Semiconductor Kinetis K 32-bit MCU, ARM Cortex-M4 core, 512KB Flash, 100MHz, Ethernet, MAPBGA 121
$10.69 查看
三星电子

三星电子

探索三星让您感受品位生活,在这里您可以找到Galaxy Z Fold4 | Z Flip4、Galaxy S22 Ultra 5G, Galaxy S22 | S22+ 5G, Galaxy Z Fold3 | Flip3 5G等新品,也可以浏览手机、电视、显示器、冰箱、洗衣机等三星官方产品内容,并获得相关产品服务与支持。

探索三星让您感受品位生活,在这里您可以找到Galaxy Z Fold4 | Z Flip4、Galaxy S22 Ultra 5G, Galaxy S22 | S22+ 5G, Galaxy Z Fold3 | Flip3 5G等新品,也可以浏览手机、电视、显示器、冰箱、洗衣机等三星官方产品内容,并获得相关产品服务与支持。收起

查看更多

相关推荐

电子产业图谱

“芯思想semi-news”微信公众号主笔。非211非985非半导体专业非电子专业毕业,混迹半导体产业圈20余载,熟悉产业链各环节情况,创办过半导体专业网站,参与中国第一家IC设计专业孵化器的运营,担任《全球半导体晶圆制造业版图》一书主编,现供职于北京时代民芯科技有限公司发展计划部。邮箱:zhao_vincent@126.com;微信号:门中马/zhaoyuanchuang