加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 正文
  • 推荐器件
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

用钱堆出来的FinFET工艺

2020/02/25
294
阅读需 38 分钟
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

目前,全球 FinFET(立体)工艺已迈入 5 纳米制程,FD-SOI(平面)工艺也迈进了 12 纳米进程。但英特尔、台积电、三星都在准备 3 纳米甚至 2 纳米工艺。据悉针对下一个节点 3 纳米,正在开发一种全新设计的晶体管(GAA-FET,gate-all-around Field-Effect Transistor),和目前使用的 FinFET 又不一样。
 
但不管是先前的 MOSFET、当下的 FinFET 还是未来的 GAA,虽然形状和材料发生了变化,但其本征没有变,说到底都是场效应晶体管(FET,Field-Effect Transistor)。场效应晶体管自 1959 年发明以来,包含同样的基本结构:栅极(Gate)、源极(Source)、漏极(Drain)。

今天我们就来谈谈 FinFET。

FinFET 工艺的概念
FinFET 称为鳍式场效应晶体管(Fin Field-Effect Transistor),是由美籍华人科学家胡正明(Chenming Hu )教授在 1999 年提出来的。其中的 Fin 在构造上与鱼鳍非常相似,所以称为“鳍式”,FET 的全名是“场效电晶体”。当时胡正明教授在加州大学领导一个由美国国防部高级研究计划局(DARPA)出资赞助的研究小组,当时他们的研究目标是 CMOS 技术如何拓展到 25nm 领域。当时的研究结果显示有两种途径可以实现这种目的:一是立体型结构的 FinFET,另外一种是基于 SOI 的超薄绝缘层上硅体技术(UTB-SOI,也就是现在常说的 FD-SOI 技术)。
 
FinFET 是一种新的互补式金属氧半导体(CMOS)晶体管,源自于传统标准的“场效晶体管”的一项创新设计。
 
传统 MOSFET 结构是平面的,只能在闸门的一侧控制电路的接通与断开。但是在 FinFET 架构中,栅门(Gate)被设计成类似鱼鳍的叉状 3D 架构,可于电路的两侧控制电路的接通与断开。这种叉状 3D 架构不仅能改善电路控制和减少漏电流(leakage),同时让晶体管的栅长大幅度缩减。目前,英特尔的 14 纳米工艺中晶体管的栅长已经缩短至 20 纳米,三星的 5 纳米工艺中已经缩短至 10 纳米,未来还有可能缩短至 7 纳米,约是人类头发宽度的万分之一。
 
FinFET 工艺的进展
当时(约在 2000 年),胡正明研究小组认为要让 UTB-SOI 正常工作,绝缘层上硅膜的厚度应限制在栅长的四分之一左右。对 25nm 栅长的晶体管而言,胡正明教授团队认为 UTB-SOI 的硅膜厚度应被控制在 5nm 左右。限于当时的技术水平,由于产业界认为要想制造出 UTB-SOI 上如此薄的硅膜实在太困难了,于是产业界开足马力研发 FinFET 技术。
 
最早使用 FinFET 工艺的是英特尔,他们在 2011 年推出的第三代酷睿处理器就开始使用 22 纳米(nm)FinFET 工艺,随后全球各大半导体厂商积极跟进,陆续转进到 FinFET 工艺中。接下来的工艺节点五分八门,恨不得把 10 以内的数字都用上,英特尔的节点包括 22 纳米、14 纳米、10 纳米、7 纳米,IBM 或 IBM 联盟的 22 纳米、14 纳米、10 纳米、7 纳米,台积电有 16 纳米、12 纳米、10 纳米、7 纳米、6 纳米、5 纳米,三星 14 纳米、11 纳米、10 纳米、8 纳米、7 纳米、5 纳米、4 纳米,中芯国际 14/12/N+1 纳米,格罗方德的 14 纳米、12 纳米、7 纳米、联电的 14 纳米。

 

有关各家工艺的更多参数对比可联系芯思想。

FinFET 工艺七大玩家
 
根据芯思想研究院提供的资料,全球目前有七家公司已经开始量产或即将量产 FinFET 工艺,按照转入的时间顺序,分别是英特尔、台积电、三星、格芯、联电、中芯国际、华虹集团。当然还有 IBM,但是 IBM 已经将半导体部门出售给格芯了。我们来了解一下七大玩家的情况吧!
 
英特尔(Intel) 
1968 年 7 月 18 日,因为不满仙童半导体(Fairchild Semiconductor)的现状,罗伯特·诺伊斯(Robert Noyce)和戈登·摩尔(Gordon Moore)选择了离职,并创办诺伊斯 - 摩尔电子公司(NM Electronic),随后公司支付了 15000 美元从 Intelco 公司买下“Intel”名字的使用权,并更名为英特尔公司。50 多年来,英特尔写下了无数辉煌。
 
从 1971 年,采用 10 微米(µm)制程工艺生产出全球首个微处理器 4004,一直到 2014 年第三代酷睿处理器实现 14 纳米 FinFET 工艺量产,英特尔的半导体制程工艺发展之路可谓领业界风骚。作为一家 IDM 大厂,其主要是生产制造 CPU,当然也顺带生产些高品质的 FPGA 和 NAND 存储芯片
 
在工艺制程方面,英特尔一向以满足最严苛的摩尔定律而闻名,在大幅缩小晶体管体积的同时,还导入全新材料,希望在产品性能提升方面一举超前对手,结果反而在商业化制程推进中落后于台积电和三星。确实,英特尔在工艺研发过程中,一直以世界首创的方式改进制造技术,包括铜互连技术、High-K 技术(2007 年)、FinFET 技术、应变硅技术,现在又在试验钴材料。
 
英特尔在美国(亚利桑那州、俄勒冈州、新墨西哥州)、爱尔兰、中国、以色列设有多座 12 英寸晶圆制造厂。除了新墨西哥州负责成熟工艺 32 纳米和 45 纳米生产,中国大连 FAB68 负责存储器芯片生产外,其他工厂都已经全数转进 FinFET 工艺。

目前,22 纳米 FinFET 工艺在亚利桑那州的 FAB32/FAB12、俄勒冈州 D1C/D1D 和以色列 FAB28 生产,14 纳米 FinFET 工艺先后在俄勒冈州 D1X(2014 年)、亚利桑那州的 FAB42(2015 年由 FAB32 生产)、和爱尔兰的 FAB24(2015 年)进行生产;而最先进的 10 纳米 FinFET 工艺则交由俄勒冈州 D1X、以色列 FAB28 负责制造,每个工艺节点都有两个以上的工厂通过验证,可以确保产品的顺利交货。
 
英特尔 2011 年自 2011 年开始量产第一代 22 纳米 FinFET 工艺,首个产品是代号 Ivy Bridge 的处理器;2014 年量产第二代 14 纳米 FinFET 工艺,首个产品是代号 Broadwell 的处理器。
 
英特尔在 2013 年的工艺技术规划中,表示 2016 年将推出 10 纳米。可由于各种原因,10 纳米的研发不用预期。于是 14 纳米工艺在 2014 年推出后被不断改进,2016 年量产 14+,2017 年量产 14++,以弥补 10 纳米延迟的缺憾。

其实在 2013 年,英特尔就设想通过提供 2.7 倍密度的自对准四轴图形(SAQP,self-aligned double patterning)、有源栅极上接触(COAG,Contact Over Active Gate)、金属钴(Co)互连以及第一代 Foveros 和第二代 EMIB 等新封装技术,计划在 2016 年推出 10nm 工艺取代 14nm 工艺。据悉,英特尔为了提高芯片性能,在 10 纳米工艺开始引入金属钴在 MO 和 M1 取代氮化钽(TaN)做侧壁层,导致工艺研发进展缓慢。

2017 年英特尔宣布了公司第三代 10 纳米 FinFET 工艺,使用的超微缩技术(hyper scaling),充分运用了多图案成形设计(multi-patterning schemes),晶体管栅极间距由 14 纳米工艺的 70 纳米减少至 10 纳米工艺的 54 纳米,最小金属间距由 52 纳米缩小到 36 纳米,据称 10 纳米工艺芯片逻辑晶体管密度是 14 纳米工艺的 2.7 倍,达到每平方毫米超过 1 亿个晶体管,但一直到 2019 年 5 月,才正式公布代号 Ice Lake 的处理器。

 
2019 年英特尔在投资者会议(Investor Meeting)上展示了技术创新路线(Relentless Innovation Continues),为 10 纳米规划了 10+和 10++;并表示 2021 年才会推出 7 纳米,也明确表示采用 EUV 方案。7nm 工艺相比 10nm 工艺晶体管密度翻倍,每瓦性能提升 20%,设计复杂度降低了 4 倍。
 
如此看来,英特尔的先进工艺在性能提升真的遇到瓶颈了。尽管很多人都认为英特尔的 14 纳米相当于台积电和三星的 10 纳米,英特尔的 10 纳米可能相当于台积电和三星的 7 纳米。
 
可此时,台积电和三星已经表示推进到了 5 纳米时代。
 
台积电(TSMC)

1987 年 2 月 21 日,台积电正式成立,在张忠谋的带领下开创了全球纯属晶圆代工的新模式,专注为全球 Fabless、IDM、和系统公司提供晶圆制造服务,台积电持续为客户提供最行老师的技术和 TSMC COMPATIBLE® 设计服务。

在晶圆代工领域,无论是制程技术覆盖范围、先进制程领导力,还是营收水平等,台积电都是行业老大,目前的市占率已经接近 60%。而在制程技术种类方面,在 2018 年,台积电就以 261 种制程技术,为 481 个客户生产了 10436 种芯片。
 
台积电自 1987 年透过转让中国台湾工业技术研究院的 2 微米和 3.5 微米技术创立公司,一直秉持“内部研发”战略,并在当年为飞利浦定制了 3.0 微米技术;1988 年,刚刚一岁的台积电就自研了 1.5 微米工艺技术;1999 年发布了世界上第一个 0.18 微米低功耗工艺技术;2003 年推出了当时业界领先的 0.13 微米低介质铜导线逻辑制程技术;2004 年全球首家采用浸没式光刻工艺生产 90 纳米芯片;2006 年量产 65 纳米工艺技术;2008 年量产 40 纳米工艺技术;2011 年全球首家推出 28 纳米通用工艺技术;2014 年全球首家量产 20 纳米工艺技术。
 
台积电在开始 20 纳米制程研发时,就瞄准布局 FinFET,2012 年完成 16 纳米制程的定义,迅速且顺利地完成测试芯片的产品设计定案,并在以 FinFET 架构为基础的静态随机存取存储器单位元(SRAM Bit Cell)上展现功能性良率;并在 2014 年开始风险生产 16FF+工艺,2015 年就顺利量产;2016 年采用多重爆光的 10 纳米工艺也迅速进入量产,量产速度较之前的制程更快。
 
台积电的 7 纳米是 10 纳米的缩小版(shrink),后部金属工艺技术基本兼容,整体密度和性能改进不多。采用 DUV 加浸没式加多重曝光方案的 7 纳米于 2017 年 4 月开始风险生产,,2018 年第三季开始贡献营收,在 2018 年有 40 多个客户产品流片,2019 年有 100 多个新产品流片。与 10nm FinFET 工艺相比,7nm FinFET 具有 1.6 倍逻辑密度,约 20%的速度提升和约 40%的功耗降低。有两个工艺制程可选,一是针对 AP(N7P),二是针对 HPC(N7HP)。联发科天玑 1000、苹果 A13 和高通骁龙 865 都是采用 N7P 工艺。
 
台积电第一个使用 EUV 方案的工艺是 N7+。N7+于 2018 年 8 月进入风险生产阶段,2019 年第三季开始量产,N7+的逻辑密度比 N7 提高 15%至 20%,同时降低功耗。
 
7 纳米之后是 6 纳米(N6)。2019 年 4 月份推出的 6 纳米是 7 纳米的(shrink),设计规则与 N7 完全兼容,使其全面的设计生态系统得以重复使用,且加速客户产品上市时间,但 N6 的逻辑密度比 N7 高出 18%的。N6 将在 2020 年第一季风险试产,第三季实现量产。
 
接下来是 5 纳米(N5)。5 纳米于 2019 年 3 月进入风险生产阶段,预期 2020 年第二季拉高产能并进入量产。主力生产工厂是 Fab 18。与 7 纳米制程相较,但 5 纳米从前到后都是全新的节点,逻辑密度是之前 7 纳米的 1.8 倍,SRAM 密度是 7 纳米的 1.35 倍,可以带来 15%的性能提升,以及 30%的功耗降低。5 纳米的另一个工艺是 N5P,预计 2020 年第一季开始试产,2021 年进入量产。与 5nm 制程相较在同一功耗下可再提升 7%运算效能,或在同一运算效能下可再降低 15%功耗。
 
至于 3 和 2 纳米,台积电表示已经在研发中,虽然制程细节 2020 年 4 月将见分晓。但 3 纳米和 2 纳米的工艺建设计划已经公布。3 纳米产线将于 2020 年动工,在新竹宝山兴,建预计投资超过新台币 6000 亿元兴建 3 纳米宝山厂,最快 2022 年底量产。
 
三星代工(Samsung Foundry) 
三星电子成立于 1969 年,1974 年通过收购韩泰半导体(Hankook Semiconductor)50%的股份,成立半导体事业部,开始进军半导体产业;1979 年收购全资拥有韩泰半导体,并更名三星半导体;1983 年正式进军存储器行业,开发出韩国第首个 64K DRAM;1988 年半导体业务和电子及无线通讯业务合并成立三星电子;2005 年开始晶圆代工业务;2017 年 5 月 12 日,三星电子宣布调整公司业务部门,将晶圆代工业务部门从系统 LSI 业务部门中独立出来,成立三星电子晶圆代工。
 
三星进入晶圆代工领域,初始一直瞄准先进工艺,2006 年首个客户签约 65 纳米;2009 年 45 纳米工艺开始接单,同年 11 月在半导体研究所成立逻辑工艺开发团队,以强化晶圆代工业务;2010 年 1 月首个推出 32 纳米 HKMG 工艺。
 
在推出 32 纳米工艺后,三星跳过 28/22 纳米,直接跨入到 14 纳米工艺,要和晶圆代工老大台积电展开面对面的肉搏。
 
2014 年推出第一代 14 纳米 FinFET 工艺,称作 14LPE(Low Power Early,低功耗早期),并于 2015 年成功量产;2016 年 1 月推出第二代 14 纳米 FinFET 工艺,称作 14LPP(Low Power Plus,低功耗增强),功耗降低 15;2016 年 5 月推出第三代 14 纳米 FinFET 工艺并量产,称作 14LPC;2016 年 11 月推出第四代 14 纳米 FinFET 工艺,称为 14LPU(Low Power Ultimate,低功耗终极)。并在 14 纳米的基础上,推出微缩版 11LPP。
 
2016 年 10 月 17 日,第一代 10 纳米 FinFET 工艺量产,称为 10LPE,新工艺性能可以提供 27%,功耗将降低 40%;2017 年 11 月,开始批量生产第二代 10 纳米 FinFET 工艺,称为 10LPP,性能提高 10%,功耗降低 15%;2018 年 6 月,推出了第三代 10 纳米 FinFET 工艺,称为 10LPU,性能再次得以提升,三星电子采用 10 纳米的三重图案光刻技术(LELELE)。
 
三星 10 纳米以下第一个节点本来是 7 纳米,但是由于 7 纳米量产受阻,转而在 2018 年 11 月率先推出 8 纳米,8 纳米制程的 8LPP 是 10LPP 的升级终极版,相比 10LPP 提升 10%效率,减小 10%面积。
 
三星将在 7 纳米工艺及以下工艺全面使用 EUV 方案。7LPE 已经在 2019 年 4 月已经完成验证,2020 年 2 月 20 日,三星宣布首条 EUV 方案专用生产线 V1 投产,表示三星 7LPP 已经准备好。但是试产和量产是两个不同的过程,如何保证量率和技术迭代,对三星是个挑战,千万不要再犯当年 14 纳米的错。高通首款 5G SoC 单芯片骁龙 765 / 765G 就是采用 7LPP 工艺,不过 7LPP 好像较计划有所推迟。
 
三星的 5 纳米(5LPE)是 7 纳米(7LPP)工艺缩减(shrink)下来的,后部金属工艺技术基本兼容,尺寸变化不超过 10%(等比例缩小是变化 30%),密度提高 1.3 倍,功耗降低 20%或将性能提高 10%。2020 年 2 月,高通发布的骁龙 X60 基带芯片就是采用 5LPE 工艺。
 
5 纳米之后,就是 4 纳米。三星表示这是最后一次应用 FinFET 技术,延续 5LPE 工艺的成熟技术,方便客户升级,4 纳米芯片面积更小,性能更高,可以快速达到高良率量产。同时,三星还计划在 2020 年推出 6LPE 和 4LPE 工艺。
 
4 纳米之后就是 3 纳米。三星表示,3 纳米将引入设计的晶体管(GAA-FET)。3 纳米制程分 3GAE、3GAP 两个时代。首发 3GAE 是第一代 GAA 技术,根据官方说法,因是全新 GAA 晶体管结构,三星使用纳米设备制造出 MBCFET(Multi-Bridge-Channel FET),可显著增加晶体管性能,以取代 FinFET 晶体管技术。此外,MBCFET 技术还能兼容现有 FinFET 制程技术及设备,加速制程开发及生产。2019 年三星曾表示,与 7 纳米制程相比,3 纳米制程可将核心面积减少 45%,功耗降低 50%,整体性能提升 35%,预计最快 2021 年量产。
 
格芯半导体(Global Foundries)
2018 年 8 月 27 日(美国西部时间),格芯半导体宣布,为支持公司战略调整,将无限期搁置 7 纳米 FinFET 项目,并调整相应研发团队来支持强化的产品组合方案。由于战略转变,格芯半导体将削减 5%的人员,其他技术人员将被部署到 14/12 纳米 FinFET 衍生产品和其他差异化产品的工作上。
 
格芯半导体是由原超微半导体(AMD)的制造业务部门在 2009 年 3 月分拆成立,2010 年收购了新加坡特许半导体(Chartered),2015 年 7 月完成收购 IBM 的半导体业务部门,可以说,格芯半导体继承了 AMD、特许半导体(Chartered)和 IBM 的半导体基因,绝对是半导体界的豪门贵族。
 
格芯半导体的 FinFET 工艺原本师承 IBM,2012 年宣布推出自研的 14XM(eXtreme Mobility)技术,进军移动通讯市场,计划在 2014 年量产;由于技术指标问题,格芯放弃 14XM,2014 年转而从三星获得 14 纳米授权,在 2015 年第一季度 14 纳米初级版 14LPE 成功通过了批量生产;三季度性能增强版本(14LPP)获得认证,2016 年量产;2017 年推出为 IBM Z 服务器用处理器芯片定制的 14HP 技术,这是业界唯一将三维 FinFET 晶体管架构结合在 SOI 衬底上的技术。
 
由于 AMD 转投台积电,导致格芯半导体宣布无限期搁置 7 纳米工艺研发,事实上,在收购 IBM 半导体部门,格芯获得了更多的半导体专利及优秀研发人才,充实了自己的研发实力,并成功研发 7 纳米工艺。根据 GF 公布的数据,7 纳米工艺相比 14 纳米工艺可以在同样的功耗下提升 40%以上的性能,或者同样的性能下减少 60%的功耗,同时在核心成本上低了 30%,现在出于 IP、PDK 和良品率的考量,格芯半导体搁置了量产研发。也许哪天,石油爸爸一高兴,就又可以上马 7 纳米 FinFET。
 
目前看来,格芯半导体的 FinFET 战略非常明确,基于已验证的 14 纳米产品,2017 年宣布了 12 纳米工艺(12LP),2018 年已经由 14LPP 顺利过渡至 12LP,燧原科技的邃思 DTU 芯片就是基于 12LP 工艺生产的,拥有 141 亿个晶体管;2019 年推出 12LP+。目前 12LP/LP+工艺由位于纽约的最先进晶圆厂 FAB8 负责制造。

近期传出要给英特尔找格芯半导体寻求 14 纳米 FinFET 工艺资源,进行处理器芯片代工的消息。这将对格芯带来一丝好消息。
 
在此还要提一下,格芯的 FDSOI 工艺。目前在德国的工厂生产的 22FDX 和 12FDX 工艺。有设计公司表示,12FDX 的性能(不指晶体管的密度)堪比台积电的 N7。
 
联电(UMC)

联电的新任管理层在 2017 年 7 月表示,联电共同总经理王石表示,在先进制程战争中,联电的客户群缩小,但先进制程每个节点的演进,其产能投资成本愈来愈高,所以很容易发生当联电赶上最新制程时,这项新制程已过了价格最高的黄金时期,因此联电大胆将重点放在成熟制程上。也就意味着联电暂时放弃了对 10 纳米和 7 纳米等先进技术的研发,注重在成熟制程上的获利。
 
联电成立于 1980 年,为中国台湾第一家半导体公司,1995 年转型晶圆代工,2000 年产出业界首批铜制程芯片;2001 年开始采用 12 英寸晶圆;2005 年产出业界第一个 65 纳米制程芯片,2008 年采用 28 纳米制程技术。
 
2012 年联电加入了 IBM 技术联盟,派遣技术团队前住 IBM 晶圆厂,并同步中国台湾 R&D 晶圆厂,共同开发 10 纳米 FinFET 工艺,并借此强化公司内部 14 纳米 FinFET 工艺开发,2014 年 14 纳米 FinFET 制程良率达到预期,并在 2017 年第三季正式量产,当年取得 5000 万美元的营收,2018 年更是取得营收高达 1.5 亿美元的佳绩。
 
时至今日,联电也没有放弃 14/12 纳米 FinFET 的研发。王石也表示,投资技术研发相对便宜,联电在 14/12 纳米 FinFET 的研发还会继续,由于昂贵的是产能建置,14/12 纳米 FinFET 纳米的产能建置相对就少,未来要不要扩建,一切以财务指标来说话。
 
中芯国际(SMIC)
中芯国际成立于 2000 年 4 月,2001 年在上海投产首条 8 英寸厂生产线,2004 年在北京投产首条 12 英寸生产线。目前在北京、上海、天津 、深圳运营多座先进的晶圆制造生产线。
 
中芯国际本着一步一个脚印,掌握核心技术,技术延伸一代、研发一代、成熟一代、产业化一代的宗旨,国际化企业的基因加上 02 重大专项的资金大力支持,中芯国际实现了集成电路技术上的追赶。2001 年中芯国际 0.25 微米首次流片,2008 年的 110 纳米量产,2006 年 90 纳米量产,2010 年 65 纳米量产,2012 年 55 纳米量产,2013 年 40/45 纳米量产。
 
2015 年中芯国际成为中国大陆第一家提供 28 纳米 Poly Sion 制程服务的纯晶圆代工厂,2016 年完成 28 纳米 HKMG 制程,并成功流片。
 
中芯国际从 28nm 直接转入 14nm,2017 年 5 月宣布 14 纳米研发获得突破;10 月 16 日,梁孟松正式加盟中芯国际。梁孟松在上任后,加强了研发队伍的建设,同时调整更新了 14 纳米 FinFET 规划,将 3D FinFET 工艺锁定在高性能运算、低功耗芯片应用。
 
在研发进展顺利的同时,14 纳米专用生产线的建设也在快速推进中。2016 年 10 月 13 日,投资百亿美元(675 亿元)、覆盖 14 纳米至 10/7 纳米工艺节点的中芯南方 12 英寸生产线正式开工;2018 年 1 月,大基金为 14 纳米提供金援,入股中芯南方。
 
2018 年第 4 季度,第一代 14 纳米 FinFET 技术进入客户验证阶段,同时 12 纳米的工艺开发也取得突破;2019 年第 1 季度,12 纳米工艺开发进入客户导入阶段,第二代 FinFET 研发进展顺利;2019 年第 2 季度,第一代 14 纳米 FinFET 进入客户风险量产,第二代 FinFET N+1 技术平台已开始进入客户导入;2019 年第 3 季度,第一代 14 纳米 FinFET 已成功量产;第二代 FinFET N+1 客户导入进展顺利。
 
华虹集团
华虹集团是国家“909”工程的载体,目前已发展成为以集成电路制造为主业、面向全球市场、具有较强自主创新能力和市场竞争力的高科技产业集团。华虹集团旗下拥有两大制造平台,一是华虹宏力,自建设中国大陆第一条 8 英寸集成电路生产线起步,目前在上海运营三条 8 英寸生产线(华虹一、二及三厂),在无锡运营一条月产能 4 万片的 12 英寸集成电路生产线,工艺技术覆盖 1 微米至 55 纳米各节点,其嵌入式非易失性存储器、功率器件、模拟及电源管理和逻辑及射频等差异化工艺平台在全球业界极具竞争力,并拥有多年成功量产汽车电子芯片的经验;二是上海华力,是国家“909”工程升级改造项目承担主体,目前在上海运营两条 12 英寸集成电路生产线,工艺技术从 55 纳米起步,最终将具备 14 纳米三维工艺的高性能芯片生产能力。
 
有关华虹集团的 FinFET 的情况不多。2020 年 1 月,华虹集团表示,14 纳米 FinFET 工艺研发获重大进展,工艺全线贯通,SRAM 良率超过 25%,2020 年将快速推进,并表示更先进技术节点的先导工艺研发快速部署。
 
FinFET 工艺产能建置隐忧

目前看来,FinFET 的玩家多达七家,如果产能一旦建置完成,会否造成产能过剩。我们先来看看几个玩家的产能建置情况。
 
台积电最早生产 FinFET 始于 2014 年,目前 FinFET 工艺有 5 个基地,分别是位于中国台湾新竹的 FAB12、中国台湾台南的 FAB14 和 FAB18、中国台湾台中的 FAB15 和江苏南京的 FAB16。各工艺节点的布局是,16/12 纳米由 FAB14 和 FAB16 负责生产,合计月产能约 15 万片;7 纳米由 FAB15 负责生产,合计月产能约 15 万片;5 纳米主要在 FAB18 生产,目前 FAB18 P1/P2 的建置产能达 10 万片。当然 FAB12 是台积电的研发基地,各工艺节点的产能都可以协调。如此算来,台积电的 FinFET 月产能总计不下于 40 万片 12 英寸晶圆。
 
三星目前生产 FinFET 工艺始于 2014 年,最早是在位于美国奥斯汀的 S2 生产 14 纳米 FinFET,目前 S2 负责 14/11/10 纳米生产;后来陆续将投入 S1 和 S3 工厂,位于器兴的 S1 负责 14/11/10/8 纳米工艺生产;位于华城的 S3 主要负责 10/8 纳米工艺生产;2020 年 2 月,位于华城的 EUV 专用生产线 V1 投产,制造 EUV 方案 7/6 纳米产品。另外,在 FAB17 厂区,也建有部分 FinFET 产能。如此估算三星的 FinFET 月产能总计约为 25 万片 12 英寸晶圆。
 
格芯半导体的 14/12 纳米 FinFET 在美国纽约的 FAB8 生产,建置月产能约在 2 万至 3 万片之间。而联电目前生产 FinFET 工艺是在 FAB12A 厂,建置月产能约在 1 万至 2 万片之间。
 
中芯国际的 FinFET 是在位于上海的中芯南方工厂生产,目前有产能约在 3000 片,根据规划,2020 年 3 月达到 4000 片,7 月达到 9,000 片,到 12 月将达到 15000 片的规模。
 
目前英特尔虽然有 4 个工厂使用 FinFET 工艺,但都是为自家的处理器服务,对外的代工数量非常少,只有一些新初创的设计公司和英特尔进行合作。
 
如此算下来,到 2020 年底,不算英特尔在内的全球 FinFET 月产能超过 70 万片左右。

要知道这么多产能都是靠钱堆出来的呀!
 
FinFET 工艺的巨额投资
FinFET 工艺研发费用有多高?FinFET 工艺的产能建置投资到底有多大?我们听听晶圆制造厂的声音。
 
FinFET 工艺研发费用有多高?我们来看看台积电的近年研发费用。2012 年的研发费用高达 338 亿新台币(约合 11.2 亿美元),此后研发费用一直快速增长,至 2019 年台积电的研发费用为 914 亿新台币(约合 30 亿美元),年均增幅 12.5%;从 2012 年至 2019 年 8 年间研发费用合计为 5970 亿新台币(约 195 亿美元)。有人说,成熟工艺就不要研发吗?当然要研发,但只是很少的一部分,相信绝大部分是用在 FinFET 工艺研发。
 
FinFET 工艺的产能建置投资有多大?看看各大公司的说法。

中芯国际联席 CEO 在 2019 年第四季度的业绩说明会上表示,FinFET 的投资非常大,每 1000 片的投资大约在 1.5 亿(EUV 方案)至 2.5 亿美元(非 EUV 方案)区间,难怪今年中芯南方工厂投资 20 亿美元,扩充产能只能至 15000 片。

2020 年 2 月 20 日,三星宣布 EUV 专用线 V1 投产,预计到年底,V1 生产线的累计总投资将达到 60 亿美元,规划月产 50000 片。

英特尔透露,使用金属钴的 7 纳米(非 EUV 方案)每 1000 片的投资大约在 2.8 亿美元。

台积电宣布建设 3 纳米工厂。预计投资 6000 亿新台币(约 190 亿美元),月产能未知。

研发加产能建置的费用绝对是天文数字,怎么赚回来呢?当然要客户买单。

FinFET 工艺的客户
 
FinFET 的客户在哪里?目前用得起 FinFET 工艺的客户屈指可数。
 
我们看看台积电的 FinFET 各节点工艺的全称就知道,不是 HP(高性能)就是 LP(低功耗),这也说明只有追求更高运算效能,还要求更低的运行功耗的特殊芯片,才采用 FinFET 工艺。
 
这样的客户有哪些呢?智能手机 AP 芯片公司华为海思、苹果、三星、高通、联发科;FPGA 供应商赛灵思;MPU 供应商 AMD、英伟达;人工智能芯片供应商,比如燧原科技、寒武纪、百度、Esperanto;以及挖矿芯片供应商嘉楠耘智、比特大陆等,而且其中几乎都被台积电锁定,像海思、苹果、联发科、AMD、英伟达、寒武纪、嘉楠耘智、比特大陆;就是高通也是在台积电和三星两边一起来。
 
三星的客户主要是自家的智能手机 AP 芯片,外加高通的一部分,也不知道建置大产能干啥用?
 
中芯国际起码有国内的大市场,华为海思自不必说,还有一大堆人工智能芯片公司,再不济还有挖矿芯片公司来买单,相信只要中芯国际的 FinFET 工艺性能稳定,产能跟得上,制程能够一代更比一代强,就一定能稳住国内客户。

推荐器件

更多器件
器件型号 数量 器件厂商 器件描述 数据手册 ECAD模型 风险等级 参考价格 更多信息
STM32F429IET6 1 STMicroelectronics High-performance advanced line, Arm Cortex-M4 core with DSP and FPU, 512 Kbytes of Flash memory, 180 MHz CPU, ART Accelerateur, Chrom-ART Accelerator, FMC with SDRAM, TFT

ECAD模型

下载ECAD模型
$23.92 查看
MC9S12A256CPVE 1 Rochester Electronics LLC 16-BIT, FLASH, 25MHz, MICROCONTROLLER, PQFP112, LQFP-112
$32.12 查看
USB2514BI-AEZG-TR 1 SMSC USB Bus Controller, CMOS
$4.43 查看
英特尔

英特尔

英特尔在云计算、数据中心、物联网和电脑解决方案方面的创新,为我们所生活的智能互连的数字世界提供支持。

英特尔在云计算、数据中心、物联网和电脑解决方案方面的创新,为我们所生活的智能互连的数字世界提供支持。收起

查看更多

相关推荐

电子产业图谱

“芯思想semi-news”微信公众号主笔。非211非985非半导体专业非电子专业毕业,混迹半导体产业圈20余载,熟悉产业链各环节情况,创办过半导体专业网站,参与中国第一家IC设计专业孵化器的运营,担任《全球半导体晶圆制造业版图》一书主编,现供职于北京时代民芯科技有限公司发展计划部。邮箱:zhao_vincent@126.com;微信号:门中马/zhaoyuanchuang