查看: 3618|回复: 2

Verilog 基础--跑马灯

[复制链接]
  • TA的每日心情
    开心
    2014-5-14 13:12
  • 签到天数: 180 天

    连续签到: 1 天

    [LV.7]常住居民III

    发表于 2013-4-23 16:21:24 | 显示全部楼层 |阅读模式
    分享到:
    1. module runLED
    2. (
    3.         CLK, RSTn, LED
    4. );

    5.         input CLK, RSTn;
    6.         output [3:0] LED;
    7.         
    8.         parameter T1s = 26'd50_000_000;
    9.         reg [31:0] count;
    10.         
    11.         
    12.         always @(posedge CLK or negedge RSTn)
    13.                 if(!RSTn)
    14.                         count <= 0;
    15.                 else if (count == T1s)
    16.                         count <= 26'b0;
    17.                 else count <= count + 26'b1;
    18.         
    19.         reg[3:0] rLED;

    20.         always @(posedge CLK or negedge RSTn)
    21.                 if(!RSTn)
    22.                         rLED <= 4'b0001;
    23.                 else if(count == T1s)
    24.                         begin        
    25.                                 if(rLED == 4'b0000)
    26.                                         rLED <= 4'b0001;
    27.                                 else
    28.                                         rLED <= {rLED[2:0], 1'b0};
    29.                         end        

    30.         assign LED = rLED;
    31. endmodule
    32.                
    复制代码
    最近买了黑金动力社区的开发板,学一学Verilog的基本逻辑,以前一直在用Sysgen做图像处理算法方面,有点走火入魔,回头发现其实用Verilog写图像处理也是可以的,因此决定认真学习Verilog,首先是学习黑金动力的例程,说实话,C语言看久了,就感觉它的代码很磨叽,因此决定自己写。虽然简单,但是还算好理解。
    tcl代码:
    1. #------------------GLOBAL--------------------#
    2. set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
    3. set_global_assignment -name ENABLE_INIT_DONE_OUTPUT OFF


    4. set_location_assignment        PIN_M1        -to RSTn


    5. set_location_assignment        PIN_R9        -to CLK

    6. set_location_assignment        PIN_J1        -to LED[0]
    7. set_location_assignment        PIN_J2        -to LED[1]
    8. set_location_assignment        PIN_K1        -to LED[2]
    9. set_location_assignment        PIN_K2        -to LED[3]
    复制代码
    回复

    使用道具 举报

  • TA的每日心情
    慵懒
    2016-1-12 22:37
  • 签到天数: 259 天

    连续签到: 1 天

    [LV.8]以坛为家I

    发表于 2013-4-23 19:19:24 | 显示全部楼层
    来膜拜大神了……
    回复 支持 反对

    使用道具 举报

  • TA的每日心情
    开心
    2014-5-14 13:12
  • 签到天数: 180 天

    连续签到: 1 天

    [LV.7]常住居民III

     楼主| 发表于 2013-4-24 10:56:15 | 显示全部楼层
    Hayasaky 发表于 2013-4-23 19:19
    来膜拜大神了……

    哪有什么大神啊,顶多算一个Veriog新手
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /3 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-5-13 03:10 , Processed in 0.136215 second(s), 19 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.