查看: 3866|回复: 1

ModelSim ALTERA 时序仿真总是不成功

[复制链接]
  • TA的每日心情
    开心
    2016-12-29 18:58
  • 签到天数: 213 天

    连续签到: 1 天

    [LV.7]常住居民III

    发表于 2013-10-24 15:08:10 | 显示全部楼层 |阅读模式
    分享到:
    本帖最后由 caolidong 于 2013-10-24 16:33 编辑

    大神们,请教个问题,用ModelSim ALTERA  时序仿真,不知道什么原因,总是不成功。
    4.jpg
    Quartus II 通过 ToolsGate Level Simulation... 总是提示 设计加载失败
    于是我选择用ModelSim ALTERA 新建工程:
    我的主程序很简单:
    7.jpg
    TestBench程序:
    8.jpg

    综合分析、布局布线、时序分析等都已成功,也分配了芯片的输入输出管脚,其它未使用的设置为三态输入。
    6.jpg
    Quartus II 也进行了设置:
    5.jpg
    ModelSim ALTERA也进行了必要的库编译包括:altera_mf、altera_primitives、220model,还有我用到的元件库maxii_atoms,我的这个小程序前三种库应该都用不到的。
    2.jpg     1.jpg
    并修改了modelsim.ini文件,使新建工程时不必重新添加库。
    新建工程,并编译:
    3.jpg       9.jpg
    然后执行仿真:设置了资源库,并执行了TestBench,没有添加SDF,这是标准时延文件,我这个程序没必要吧。
    11.jpg 10.jpg 12.jpg
    结果还是和功能一样,信号没有增加:
    13.jpg
    我阅读了ALTERA官方文件: Using_ModelSim.pdf (1.45 MB, 下载次数: 21)
    回复

    使用道具 举报

  • TA的每日心情
    开心
    2016-12-29 18:58
  • 签到天数: 213 天

    连续签到: 1 天

    [LV.7]常住居民III

     楼主| 发表于 2013-11-6 14:28:52 | 显示全部楼层
    哎~感到好无助!
    回复 支持 反对

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /3 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-5-13 17:12 , Processed in 0.140788 second(s), 18 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.