查看: 809|回复: 0

$test$plusargs的用法

[复制链接]
  • TA的每日心情
    开心
    2022-10-10 06:47
  • 签到天数: 1313 天

    连续签到: 3 天

    [LV.10]以坛为家III

    发表于 2022-10-9 10:12:18 | 显示全部楼层 |阅读模式
    分享到:

    我买了夏宇闻老师的《Verilog 数字系统设计教程》(第四版)


    其中第114页有一个例子,我想做这个实验,可是不成功

    代码为:

    1. // test vector input registers
    2. reg clk;
    3. reg rst_n;
    4. // wires
    5. wire led;

    6. // assign statements (if any)
    7. LAMP i1 (
    8. // port map - connection between master ports and signals/registers
    9. .clk(clk),
    10. .led(led),
    11. .rst_n(rst_n)
    12. );

    13. reg a,b,c;
    14. initial begin
    15. a=1'b1;b=1'b0;c=1'b1;
    16. if($test$plusargs("DISPLAY_VAR"))
    17. $display("Dispaly=%b",{a,b,c});
    18. else
    19. $display("No Dispaly");
    20. end



    21. endmodule
    复制代码

    书上说仅当在运行时设置了标志DISPLAY_VAR时才显示变量。可以指定+DISPLAY_VAR选项在程序运行时设置标志。

    我不知道怎么设置,请高手解惑,谢谢!

    设置这个标志是不是要装synopsys vcs软件啊?网上找不到,**上也没有。请问高手,哪里能找到?谢谢!


    回复

    使用道具 举报

    您需要登录后才可以回帖 注册/登录

    本版积分规则

    关闭

    站长推荐上一条 /2 下一条



    手机版|小黑屋|与非网

    GMT+8, 2024-5-6 20:25 , Processed in 0.108279 second(s), 15 queries , MemCache On.

    ICP经营许可证 苏B2-20140176  苏ICP备14012660号-2   苏州灵动帧格网络科技有限公司 版权所有.

    苏公网安备 32059002001037号

    Powered by Discuz! X3.4

    Copyright © 2001-2024, Tencent Cloud.