加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 正文
    • 1.138译码器真值表
    • 2.138译码器电路图
    • 3.138译码器作用
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

138译码器

2021/01/26
5246
阅读需 3 分钟
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

138译码器是一种常用的数字电路芯片,可以将三位二进制编码转换成八个输出信号。它通常用于将CPU或其他控制器的输出与多个显示器存储器或输入设备进行连接。

1.138译码器真值表

以下是138译码器的真值表:

输入 输出(Y0-Y7)
000 00000001
001 00000010
010 00000100
011 00001000
100 00010000
101 00100000
110 01000000
111 10000000

2.138译码器电路图

以下是138译码器的典型电路图

3.138译码器作用

138译码器的主要作用是扩展数字电路芯片的I/O端口数量。它可以将三位二进制编码转换成八个输出信号,这八条输出线接到其他器件上,如LED数码管发光二极管(LED)、七段数码管等。利用138译码器,一个微处理器可以同时驱动多个显示设备,从而具有更强的控制能力。

相关推荐

电子产业图谱