加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 正文
  • 推荐器件
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

P&R | 如何在实现全流程中考虑IR-Drop

2020/08/06
787
阅读需 9 分钟
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

随着工艺进步,芯片上的线宽越来越窄,单位电阻也越来越大,而同时设计的复杂度也越来越高,芯片尺寸非但没有减小还长得更大了,以至于绕线越来密集,这对电源完整性提出了新的挑战,维基百科上对电源完整性的定义是:Power integrity: or PI is the analysis to check whether the desired voltage and current are met from source to destination. 对应于数字实现就是 IR 跟 EM 的分析。

对于老工艺,IR 跟 EM 在设计末期去修干净即可,但到了新工艺点,如果把 IR 跟 EM 留到最后再看,是在玩火,因为极可能修不掉需要重头再来。所以亟需在实现早期就去考虑 PI,  此处就需要了解一下 C 记的 IR-Aware 全家桶 —— Innovus + PVS + voltus + Tempus —— 从 IR-Aware Placement 到 IR-Aware CCOPT 到 reinforce_pg 到 Tempus ECO IR drop fixing 到 PVS TBF-PG 到 Power Grid Optimization.  

 

"Power has to feed every transistor within a chip. That power is distributed around the chip using the metal layers. As fabrication technologies have become smaller, the size of the wires has also been getting smaller, while physical chip dimensions have stayed roughly the same. This means that wires have become thinner but have not gotten shorter. That leads to an increase in resistance per unit length. There is an almost 10X increase in resistance between a 28nm chip and a 7nm chip, and that will follow an exponential increase for smaller geometries. At the same time, the total power consumed by chip has also remained fairly flat.

As current flows through a resistor, the voltage drops – this is what is referred to as IR drop. When the voltage at a transistor drops, it becomes slower and this could impact the circuit timing. When this happens on a critical path through a design, it can also lead to a functional failure and thus needs to be avoided. Another trend in semiconductor design has been a reduction in operating voltage, meaning that small changes in supply voltage may represent an increasing percentage of the digital swing and potentially lead to incorrect logic values being seen.

A second problem is intertwined with IR drop: electromigration. When large currents flow through these thin wires, molecular displacement can happen. This means that molecules of the metal migrate along the wires over time, causing a further shrinking of the wire at certain points and thus an increase in the resistance. So, over time, electromigration can make IR drop worse. It thus becomes necessary to analyze for IR drop over the intended life off the device coupled with electromigration analysis.

IR drop, while causing an increase in delay for a digital transistor, can have an even bigger impact on analog circuitry. Not only can timing change, but it can directly cause functional failures. Given that the current draw of a wire is influenced by activity in other areas of the chip, it is often seen as a source of noise for the analog circuitry and this has to be taken into account when doing circuit analysis."

参考文献:https://support.cadence.com/apex/ArticleAttachmentPortal?id=a1O0V000009MqknUAC&pageName=ArticleContent&oMenu=People%20who%20viewed%20this%20also%20viewed

推荐器件

更多器件
器件型号 数量 器件厂商 器件描述 数据手册 ECAD模型 风险等级 参考价格 更多信息
ATXMEGA128A1-AU 1 Microchip Technology Inc IC MCU 8BIT 128KB FLASH 100TQFP

ECAD模型

下载ECAD模型
$8.12 查看
DS3234SN#T&R 1 Maxim Integrated Products Real Time Clock, Non-Volatile, 1 Timer(s), CMOS, PDSO20, 0.300 INCH, ROHS COMPLIANT, SOP-20
$8.47 查看
AT91SAM7X512B-AUR 1 Microchip Technology Inc IC MCU 32BIT 512KB FLASH 100LQFP

ECAD模型

下载ECAD模型
$15.51 查看

相关推荐

电子产业图谱

公众号陌上风骑驴看IC号主,EDA 老油条,热衷于调侃EDA ,钻研数字实现流程,江湖人称老驴。