• 正文
  • 相关推荐
申请入驻 产业图谱

芯片后端设计中常用的软件和工具

05/21 10:58
3759
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

✅ 一、什么是芯片后端设计?

芯片后端设计,又称物理设计,是从逻辑设计(即前端)得到的门级网表出发,逐步实现成可以制造的芯片版图(layout。其本质任务是将电路“落地”:将功能逻辑准确、安全地“布”在硅片上,同时满足时序、电源、面积、功耗等各种物理约束。

通俗类比:前端设计像是在“写剧本和搭建人物关系”,而后端设计是“导演把剧本拍成电影”,不仅要真实再现剧情,还要考虑拍摄场地、演员调度、灯光布置等现实约束。

✅ 二、后端设计完整流程及主要工具

1. DFT(Design for Test)可测性设计

目的:在芯片设计阶段就预留测试结构,便于未来芯片量产测试,提高良率

关键任务

插入扫描链(Scan Chain)用于控制/观察寄存器状态。

添加内存测试电路(MBIST)。

布局测试引脚(JTAG、BSCAN)。

常用工具

Synopsys

DFT Compiler(扫描链插入)

TetraMAX(ATPG 模式生成)

Mentor Tessent

MBISTArchitect(内存测试结构)

TestKompress(测试向量压缩)

Cadence Modus(集成DFT、BIST及ATPG)

类比:DFT 就像在汽车制造前安装了“自检系统”,方便日后维修保养。

2. Floorplanning(布局规划)

目的:确定芯片中各个模块(IP、RAM、IO等)的大致位置。

关键任务

定义芯片边界与IO排列。

确定核心区域(Core)、宏单元(Macro)、通道等区域。

设定电源规划(Power Planning)。

常用工具

Cadence Innovus(原Encounter)

Synopsys IC Compiler II

Mentor Olympus-SoC

类比:Floorplan 就像城市规划,把住宅、学校、医院、马路在地图上合理安排。

3. Placement(标准单元放置)

目的:将成千上万的标准单元(逻辑门触发器等)根据网表合理地放置在芯片核心区。

关键任务

单元优化放置,减少连线长度。

预优化拥塞与功耗。

留出空白区域用于时钟树和布线。

常用工具

Cadence Innovus

Synopsys IC Compiler II

Siemens Aprisa(Mentor)

类比:像在城市中安排建筑的实际位置,既要考虑空间,又要兼顾交通和资源供给。

4. CTS(Clock Tree Synthesis)时钟树综合

目的:构建一个对称、均衡、低偏差的时钟分布网络,确保各寄存器同步触发。

关键任务

最小化时钟偏斜(Skew)

控制插入延迟(Insertion Delay)

支持多域时钟、多源同步等复杂时钟设计。

常用工具

Cadence Innovus(CT-Gen引擎)

Synopsys IC Compiler II(Clock Tree Compiler)

Siemens Olympus-CTS

类比:CTS 像给整个城市布设水管主干网,必须让水压分布均衡,不能让某些区域水太慢或太快。

5.

Routing

(布线)

目的:为电路中所有逻辑连接建立实际的金属走线,实现从网表到版图的转换。

关键任务

精确走线(遵守线宽、间距、金属层等设计规则)。

优化拥塞、交叉干扰(crosstalk)。

支持多层布线,合理使用 vias。

常用工具

Cadence Innovus

Synopsys IC Compiler II

Siemens Aprisa / Mentor Nitro-SoC

类比:像在城市中铺设道路、电缆,把所有建筑都用通信和电力线路连起来。

6. 寄生参数提取(Parasitic Extraction)

目的:提取走线、电容电阻等寄生效应,为后续的精确时序仿真与信号完整性分析提供数据。

关键任务

RC 网络建模(R:电阻,C:电容)。

考虑电源噪声、耦合效应。

提供后仿真所需的 SDF/ SPEF 文件。

常用工具

Synopsys StarRC

Cadence Quantus

Mentor Calibre xRC

类比:这是把布好的电线、电缆进行电性能测量,看看实际电压、电流是否可靠。

7. 时序签核(Sign-off STA)

目的:结合寄生参数,对整个芯片进行静态时序分析,确认无时序违例。

关键任务

检查 Setup / Hold 违例。

多模式多角度(MMMC)签核。

支持动态电压频率调整(DVFS)场景。

常用工具

Synopsys PrimeTime

Cadence Tempus

Siemens Aegis STA

8. 物理验证(DRC/LVS)

目的:确保布局满足制造工艺要求,且逻辑电路与实际布线电路一致。

关键任务

DRC(设计规则检查):检查线宽、线距、过孔等是否满足工艺规范。

LVS(电路对比验证):版图与门级网表功能是否一致。

支持图形可视化调试。

常用工具

Mentor Calibre(工业标准)

Synopsys Hercules

Cadence Pegasus / Assura / Dracula

类比:DRC/LVS 就像盖房验收——检查电线、电路是否符合国家标准并与图纸一致。

9. 后仿真(Post-layout Simulation)

目的:验证布线和寄生效应是否影响功能时序,确保“真实电路”仍然工作正常。

关键任务

使用SDF文件添加真实延迟。

运行Verilog/VHDL仿真。

检查波形是否仍然符合预期。

仿真工具:与前仿真相同

Modelsim(Mentor)

VCS(Synopsys)

NC-Verilog(Cadence)

10. GDSII 生成与流片交付

目的:将最终版图输出为 GDSII 格式,交由晶圆厂(Foundry)制造。

关键任务

封装 GDS 文件(包含所有层次与图层)。

提供工艺参数文件(.lef/.lib/.tf)。

与Foundry沟通流片批次与光罩制作。

✅ 三、后端工具总结表

流程阶段 工具厂商与代表软件
DFT设计 Synopsys (DFT Compiler, TetraMAX)  Mentor Tessent  Cadence Modus
布局规划 Cadence Innovus  Synopsys ICC II
单元放置 同上
时钟树综合 Synopsys Clock Tree Compiler  Cadence CT-Gen
布线 Synopsys ICC II  Cadence Innovus
寄生提取 StarRC(Synopsys) Calibre xRC(Mentor)
STA时序分析 PrimeTime(Synopsys) Tempus(Cadence)
DRC/LVS验证 Calibre(Mentor) Pegasus(Cadence)
后仿真 VCS / Modelsim / NC-Verilog
最终版图输出 GDSII(所有物理设计工具都可生成)

✅ 四、总结

芯片后端设计是从“逻辑”到“物理”的关键桥梁,需要多种工具协同工作完成布局、布线、时钟布网、验证等关键步骤,最终交付可以交由工厂制造的高质量芯片版图文件。每一个阶段的工具都精细分工,协同运作,构建起整个物理实现的工程体系。

相关推荐