加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 正文
    • 台积电:最为积极和最早布局
    • 三星:在技术上的追赶和超越
    • 英特尔:主流工艺反超 新战场保守
    • 决战 3nm 面临的挑战
    • 结尾
  • 推荐器件
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

资本丨全球芯片攻坚战:台积电一枝独秀,3nm明年开始试产

2020/05/02
222
阅读需 9 分钟
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

前言:5nm、3nm 节点主要面向 FPGA 等高性能计算领域,智能处理器5G 芯片。在接下来的两三年中,5G 将会被大规模使用。

台积电:最为积极和最早布局

很快台积电的 5nm 工艺即将量产,与此同时,台积电的 3nm 工艺也在持续推进当中。

去年 7 月,台积电就公开表示其 3nm 工艺的开发进展顺利,并且已经与早期客户就技术定义进行了接触。

近日,台积电正式披露了其最新 3nm 工艺的细节详情,其晶体管密度达到了惊人的 2.5 亿个 /mm²。

在性能提升方面,台积电 5nm 工艺相比 7nm 性能可提升 15%,能效比提升 30%,而 3nm 较 5nm 性能则可进一步提升 7%,能效比提升 15%。

台积电在评估多种选择后认为现行的 FinFET 工艺在成本及能效上更佳,所以 3nm 首发依然会是 FinFET 晶体管技术。

而台积电则表示,其 3nm 工艺研发符合预期,并没有受到疫情影响,预计在 2021 年进入风险试产阶段,2022 年下半年量产。

3nm 是他们在 5nm 之后在芯片工艺上的一个完整的技术跨越,同第一代的 5nm 工艺(N5)相比,第一代的 3nm 工艺(N3)的晶体管密度将提升约 70%,速度提升 10%到 15%,芯片的性能提升 25%到 30%,3nm 工艺将进一步夯实他们未来在芯片工艺方面的领导地位。

台积电通过了 1,217.81 亿元资本预算,除升级先进制程产能外,也用于转换部分逻辑制程产能为特殊制程产能。

台积电预定今年度资本支出金额约 100 亿美元至 110 亿美元,其中 80%经费将用于 3 纳米、5 纳米及 7 纳米先进制程技术。

台积电预期,今年 7 纳米与第二代 7 纳米制程将贡献约 25%业绩。另外有 10%经费用于先进封装与光罩,10%用于特殊制程。

面对三星积极冲刺晶圆代工,并企图在 3 纳米制程超车台积电,台积电发言系统表示,不对竞争对手的技术发展做任何评论,并强调绝有信心在 7 纳米、5 纳米,甚至 3 纳米制程持续维持全球领先地位。

三星:在技术上的追赶和超越

三星同样押注 3nm 节点,进度及技术选择都很激进,三星将淘汰 FinFET 晶体管,直接使用 GAA 环绕栅极晶体管。

根据三星的信息,相较于 7nm FinFET 工艺,3nm 工艺可以减少 50%能耗、增加 30%性能。三星计划 2021 年量产,疫情影响已推迟到 2022 年,但没有明确具体时间。

去年,三星的 Foundry Forum 活动中强调了先进封装的重要性;今年,三星的 Foundry Forum 则将重点放在了先进制程的进度上。就此,我们也能够很明显地感受到,三星与台积电之间的竞争越发激烈。

前不久,三星也公布了未来的制程工艺路线图,公司计划今年推出 7nm EUV 工艺,明年有 5/4nm EUV 工艺,2020 年则会推出 3nm EUV 工艺,同时晶体管类型也会从 FinFET 转向 GAA 结构。

不过,根据最新的消息显示,受今年新冠疫情的影响,三星的 3nm 工艺的进度可能将推迟。

基于 GAA 的工艺节点有望在下一代应用中广泛采用,例如移动、网络通讯、汽车电子人工智能AI)和 IoT 物联网等。

值得一提的是,三星一直被诟病的晶体管密度仍然未被提及。作为 GAA 技术的领头羊,三星究竟能否借由 3nm 工艺翻盘,还需要时间来证明。

三星在 10nm、7nm 及 5nm 节点的进度都会比台积电要晚一些,导致台积电几乎包揽了目前的 7nm 芯片订单,三星只抢到 IBM、NVIDIA 及高通部分订单。

不过三星已经把目标放在了未来的 3nm 工艺上,预计 2021 年量产,这个时间点要早于台积电。

英特尔:主流工艺反超 新战场保守

去年英特尔超越三星,夺回了全球半导体市场的一哥地位,过去 27 年以来英特尔在这个榜单上把持了 25 年之久。

再下一步,英特尔还要在半导体技术上追上来,其 7nm 工艺晶体管密度就接近台积电 3nm 工艺了,5nm 节点反超几乎是板上钉钉了。

在 10nm 走上正轨之后,英特尔宣布他们的半导体工艺发展将回到 2 年一个周期的路线上来,2021 年就会量产 7nm 工艺,首发高性能的 Xe 架构 GPU,2022 年会扩展到更多的 CPU 等产品中。

现在还没公布官方细节,不过英特尔从 22nm 工艺到 14nm 是 2.4x 缩放,14nm 到 10nm 是 2.7x 缩放,都超过了摩尔定律的 2x 工艺缩放水平。

英特尔 CEO 司睿博之前提到过 7nm 工艺会会到正常缩放,那至少是 2x 到 2.4x 缩放,意味着 7nm 工艺的晶体管密度将达到 2 亿 /mm2 到 2.4 亿 /mm2 之间。

这样看来,如果是 2.4 亿 /mm2 的水平,那英特尔的 7nm 工艺就能达到台积电 3nm 工艺的水平,保守一点 2 亿 /mm2 的话,那也非常接近了。

7nm 之后英特尔还会进入 5nm 节点,时间点会在 2023 年,按照英特尔的水平,至少也是 2x 缩放,那晶体管密度至少会达到 4 亿 /mm2,远远超过台积电的 3nm 工艺水平,台积电的 2nm 工艺在 2023 年之前应该没戏的。

目前的计算还是理论性的,但是只要英特尔的工艺路线重回正轨,先进工艺上追回来并不让人意外,台积电、三星并不能小觑半导体一哥的技术实力。

7nm 之后是更先进的 5nm、3nm、2nm 和 1.4nm,其中 5nm、3nm 和 2nm 处在路线发现阶段,分别计划在 2023 年、2025 年和 2027 年采用,2029 年拟开始采用 1.4nm 工艺。

同时,英特尔或也将在 5nm 工艺阶段放弃 FinFET 晶体管,转向 GAA 环绕栅极晶体管。

其首席财务官也曾指出,英特尔要进行 10nm 量产、7nm 提速、5nm 投资,考虑到这部分技术交集主要集中在 2020~2021 年,也必然会影响到英特尔毛利率。

从流程路线图来看,英特尔将按照每两年一次主要节点更新的节奏进行。而 3nm,排到了 2025 年来实现。

决战 3nm 面临的挑战

①虽说现在台积电和三星的 7nm EUV 产品已经步入正轨,但当先进工艺推进到 3nm 之时,与之相关的 EUV 技术也将再次发生变化。

而这就涉及了 EUV 曝光技术的开发方面最重要的是 EUV 曝光设备的改良。

②EUV 掩膜、检测掩膜的缺陷以及光源功率等都将影响 EUV 技术在先进工艺上的使用。

③虽然台积电、三星以及英特尔都计划在 GAA 上有所投入,但在 3nm 初期阶段就采用新型晶体管,是否能够被市场接纳,也是值得厂商思考的事情。

④如果采用 GAA 工艺,则需要导入新材料,因此制程技术上相当困难,尤其是在蚀刻部分是大挑战。

⑤3nm 工艺节点的互连是芯片中的微小铜布线方案,它在每个节点上变得越发紧凑,造成芯片中不必要的 RC 延迟。

结尾

纵观全球半导体制程玩家,目前仅剩三足鼎立:英特尔、三星和台积电。而其中真正卯着劲在攻坚 3nm 的,其实只有三星和台积电两家而已。从市场份额来看,台积电暂时领先。

推荐器件

更多器件
器件型号 数量 器件厂商 器件描述 数据手册 ECAD模型 风险等级 参考价格 更多信息
IFSC1515AHER220M01 1 Vishay Intertechnologies General Purpose Inductor, 22uH, 20%, 1 Element, SMD, 1515, CHIP, 1515, ROHS COMPLIANT

ECAD模型

下载ECAD模型
$0.87 查看
7774 1 Keystone Electronics Corp TAB Terminal,

ECAD模型

下载ECAD模型
$0.47 查看
BTA41-800BQ 1 WeEn Semiconductor Co Ltd TRIAC,
$2.64 查看

相关推荐

电子产业图谱

AI芯天下是智能芯片行业垂直自媒体。采用媒体+行业的模式,坚持从芯出发,用心服务的理念,致力于丰富的网络基础资源建设。官网:http://www.world2078.com/ 公众号:World_2078