加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 直播介绍
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

RTL-to-Gate synthesis with Design Compiler PrimeTime SI STA analysis

2019/07/16
1287
阅读需 2 分钟
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

课程内容:

第一节:RTL到门级网表的实现,逻辑综合流程相关介绍

第二节:STA分析以及时序分析中的crosstalk、noise、POCV相关的分析方法


课时安排:

  • Design Compiler

  • RTL到门级网表的设计实现概念介绍

  • 逻辑综合的基本流程

  • 有助于提升网表QoR的命令操作

  • Design Compiler NXT 全新一代的逻辑综合工具介绍

  • PrimeTime SI (PT SI):

  • STA分析PT基本流程

  • PT SI 的分析方法

  • PT ECO相关的advanced feature介绍


工具列表:

  • Design Compiler Graphical

  • PrimeTime SI


讲师介绍:

Gogo Min.jpgGogo Min

东南大学ASIC工程中心研究生毕业,曾参与海思麒麟芯片的设计开发,负责综合实现、STA相关工作。现任职于Synopsys,负责DC, Formality, PT相关工具的技术支持。

相关推荐

电子产业图谱