加入星计划,您可以享受以下权益:

  • 创作内容快速变现
  • 行业影响力扩散
  • 作品版权保护
  • 300W+ 专业用户
  • 1.5W+ 优质创作者
  • 5000+ 长期合作伙伴
立即加入
  • 正文
  • 推荐器件
  • 相关推荐
  • 电子产业图谱
申请入驻 产业图谱

泛林集团、Entegris 和 Gelest 携手推进 EUV 干膜光刻胶技术 生态系统

2022/07/19
774
阅读需 4 分钟
加入交流群
扫码加入
获取工程师必备礼包
参与热点资讯讨论

泛林集团 (NASDAQ: LRCX)、Entegris, Inc. (NASDAQ: ENTG) 和三菱化学集团旗下公司 Gelest, Inc, 于近日宣布了一项战略合作,将为全球半导体制造商提供可靠的前体化学品,用于下一代半导体生产所需的、泛林突破性的极紫外 (EUV)干膜光刻胶创新技术。三方将合作对未来几代逻辑和 DRAM 器件生产所使用的 EUV 干膜光刻胶技术进行研发,这将有助于从机器学习和人工智能到移动设备所有这些技术的实现。

强大的工艺化学品供应链对于 EUV 干膜光刻胶技术运用到量产至关重要。这一新的长期合作将进一步扩大干膜光刻胶技术不断发展的生态系统,由半导体材料领先者提供双源供应,保障全球所有市场的持续供应。

此外,泛林、Entegris 和 Gelest 还将携手合作,加快开发未来用于高数值孔径(高 NA)EUV 图形化的高性价比 EUV 干膜光刻胶解决方案。高 NA EUV 被广泛认为是未来几十年半导体器件持续微缩和发展所需要的图形化技术。干膜光刻胶能实现高抗刻蚀性及沉积和显影所需的可调厚度比例,以支持高 NA EUV 降低焦深的要求。

泛林集团执行副总裁兼首席技术官 Rick Gottscho 表示:“干膜光刻胶技术结合 EUV 为将来 DRAM 和逻辑的发展扫清了最大障碍。此次合作将泛林在干膜光刻胶技术领域的专业知识、尖端解决方案与材料科学能力和来自两个前体化学品行业领导者带来的值得信赖的供应渠道结合在一起。这一干膜光刻胶生态系统的重要扩展为该技术的创新和量产铺平了道路。”

干膜光刻胶最初由泛林与 ASML 和 IMEC合作开发,提升了 EUV 光刻的分辨率、生产率和良率,从而解决了与下一代 DRAM 和逻辑技术相关的关键挑战。该技术提供出色的剂量-尺寸比和剂量-缺陷率性能,从而提高了 EUV 扫描仪的生产率并降低持有成本。此外,与传统抗蚀剂工艺相比,泛林的干膜光刻胶工艺消耗的能源更少,原材料消耗量比之前要少五到十倍,从而提供了关键的可持续发展优势。

Entegris首席执行官 Bertrand Loy 表示:“泛林的干膜光刻胶技术反映了材料层面的关键创新,并且提供多项优势,包括分辨率更佳、成本效益更高以及具吸引力的可持续发展效益。我们很自豪能够参与这项创新合作,以加速干膜光刻胶技术的采用,并在客户运用这项重要技术创造下一代半导体之际,成为他们值得信赖的工艺材料供应商。”

三菱化学集团旗下 Gelest 总裁 Jonathan Goff 表示:“我们与泛林和 Entegris 合作开发 EUV 光刻所使用的干膜光刻胶,这表明我们致力于支持芯片制造商在材料科学领域的创新。近年来,我们已经看到 EUV 展现出了非凡的价值,我们很高兴成为不断发展的生态系统的一部分,以扩大其潜力。”

推荐器件

更多器件
器件型号 数量 器件厂商 器件描述 数据手册 ECAD模型 风险等级 参考价格 更多信息
254M06QD100 1 Quantic Paktron RC Network, Bussed, 0.5W, 100ohm, 600V, 0.25uF, Through Hole Mount, 2 Pins, RADIAL LEADED, ROHS COMPLIANT
$9.92 查看
C106DG 1 onsemi Sensitive Gate Silicon Controlled Rectifier - SCR 400 V, TO-225, 500-BLKBX

ECAD模型

下载ECAD模型
$0.9 查看
1N4148X-TP 1 Micro Commercial Components Rectifier Diode, 1 Element, 0.15A, 75V V(RRM), Silicon, ROHS COMPLIANT, PLASTIC PACKAGE-2
$0.08 查看

相关推荐

电子产业图谱