名称:uart串口发送和接收字符串设计Verilog代码Quartus仿真
软件:Quartus
语言:Verilog
代码功能:
uart串口发送和接收字符串
仿真发送字符串“from my rsic-v soc uart !!!!!!”
FPGA代码Verilog/VHDL代码资源下载:www.hdlcode.com
设计文档:
1、工程文件
2、程序文件
3、Testbench
4、仿真图
部分代码展示:
`timescale 1ns / 1ps module tb_uart_send(); // 定义仿真参数 localparam CLK_PERIOD = 20; // 系统时钟周期 20ns (50MHz) localparam BAUD_RATE = 115200; // UART 波特率 localparam BIT_PERIOD = 1000000000 / BAUD_RATE; // 每比特时间 (ns) // 定义字符串内容 localparam STRING_LEN = 28; // 字符串长度 reg [7:0] send_data [0:STRING_LEN-1]; // 存储字符串ASCII码 // 定义模块接口信号 reg sys_clk; reg sys_rst_n; reg uart_en; reg [7:0] uart_din; wire tx_done; wire uart_txd; // 例化待测模块 uart_send u_uart_send ( .sys_clk (sys_clk), .sys_rst_n (sys_rst_n), .uart_en (uart_en), .uart_din (uart_din), .tx_done (tx_done), .uart_txd (uart_txd) ); // 初始化字符串ASCII码(直接赋值) initial begin send_data[0] = "F"; // 分解字符串 send_data[1] = "r"; send_data[2] = "o"; send_data[3] = "m"; send_data[4] = " "; // ... 依次填充所有字符(此处需补全剩余字符) send_data[27] = "!"; // 最后一个'!' end
点击链接获取代码文件:http://www.hdlcode.com/index.php?m=home&c=View&a=index&aid=1539
阅读全文
714